Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 220 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
683
565
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,971
  • LNL.png
    881.8 KB · Views: 25,443
Last edited:

ondma

Platinum Member
Mar 18, 2018
2,729
1,300
136
I take that as a glass half full situation for now. IMHO the true test for Intel in the short term is delivery of the promised nodes, everything else can be mediocre if delivered on time. It would be great if something shiny came along the way too, even if it only shines at night
IDK. If LNC is not competitive, Intel is in deep trouble, no matter how good the process node, unless they make significant architectural changes quickly.
 

SiliconFly

Golden Member
Mar 10, 2023
1,072
556
96
But not to worry, I just read somewhere that ARL will destroy Zen 5.
Confirmed?

...LNL ... potential redeemer of Lakefield...
Lakefield was a trial run to validate their (foveros) chiplet strategy. Not meant for mass market as they didn't make enough and neither bothered the oems (except for one). Purely to gauge foveros's viability.

...if something shiny came along the way too, even if it only shines at night...
ARL it is. Don't worry. It'll shine both day AND night.

Pat was this confident when alder lake launched and he was proved right.. lion cove will be 8 wide like firestorm core
8 wide I agree. But firestorm is ARM RISC. Does the same performance gain translate to x86 ISC in LNC? A little too soon to say for sure I think.

IDK. If LNC is not competitive, Intel is in deep trouble, no matter how good the process node, unless they make significant architectural changes quickly.
True. Nodes aren't an issue anymore for Intel as LNC is on both foundries already. They can very easily get ARL's CPU tile fabbed in volume in TSMC if they want. It's just that they're sticking to 20A for ARL by choice (probably for performance reasons). LNC's performance is the single thing that's going to make or break people's confidence in Intel.
 

poke01

Senior member
Mar 8, 2022
998
1,096
106
IDK. If LNC is not competitive, Intel is in deep trouble, no matter how good the process node, unless they make significant architectural changes quickly.
Yeah, it’s need to compete with M3/M4. By compete I mean match or exceed performance per watt of Apples base M SoC.

They are industry standard now for low power SoC.
 

Doug S

Platinum Member
Feb 8, 2020
2,373
3,788
136
Yeah, it’s need to compete with M3/M4. By compete I mean match or exceed performance per watt of Apples base M SoC.

They are industry standard now for low power SoC.

No they don't. People who want a Windows PC are not going to buy a Mac because it has better performance per watt. Even if it had DOUBLE performance per watt, which obviously it doesn't/won't have. Because people who want a Windows PC need, you know, Windows.

There may be some segment of people who are truly agnostic about their OS who are willing to switch to Mac, but that's a very small slice of the overall market. Corporations that have dependencies on Windows can't buy their employees Macs. People who are buying desktops generally don't care in the slightest about performance per watt. So basically you're talking some percentage of consumers buying a laptop who might be enticed to buy a Mac. And it is still a significant minority of that total market.

Does Intel want to match or exceed performance per watt versus Apple? Sure. Does it matter to their success? No, not really much at all. How they compare with AMD's offerings is FAR more important than how they compare to Apple.
 

poke01

Senior member
Mar 8, 2022
998
1,096
106
No they don't. People who want a Windows PC are not going to buy a Mac because it has better performance per watt. Even if it had DOUBLE performance per watt, which obviously it doesn't/won't have. Because people who want a Windows PC need, you know, Windows.

There may be some segment of people who are truly agnostic about their OS who are willing to switch to Mac, but that's a very small slice of the overall market. Corporations that have dependencies on Windows can't buy their employees Macs. People who are buying desktops generally don't care in the slightest about performance per watt. So basically you're talking some percentage of consumers buying a laptop who might be enticed to buy a Mac. And it is still a significant minority of that total market.

Does Intel want to match or exceed performance per watt versus Apple? Sure. Does it matter to their success? No, not really much at all. How they compare with AMD's offerings is FAR more important than how they compare to Apple.
AMD doesn't have a offering this year that competes with Lunar Lake. Its M3 vs Lunar Lake when people compare them. Both built on TSMC 3NB and both are for low power products. I'm not taking about platform perference.
 

Thunder 57

Platinum Member
Aug 19, 2007
2,753
3,977
136
You know, you are very annoying. I did say 'when people compare them' meaning when LNL is released. You don't have to give a smart remark about everything I say.

Well maybe when LNL is released, AMD will have a product that is comparable. Instead, you outright dismissed them already. You may be right since Intel would rather talk about LNL and is rather quiet about ARL. I would not dismiss anyone at this point though.
 
Reactions: Tlh97 and poke01

branch_suggestion

Senior member
Aug 4, 2023
244
523
96
Well maybe when LNL is released, AMD will have a product that is comparable. Instead, you outright dismissed them already. You may be right since Intel would rather talk about LNL and is rather quiet about ARL. I would not dismiss anyone at this point though.
I think STX2 was cancelled, the premium end of the ULP market is too small without MS stimuli.
MS has now moved onto giga-NPUs, which STX1 is the first part that meets that requirement.
AMD did the same thing with Rembrandt being the first Pluton part, to get Surface and other premium business notebook sales, that failed miserably largely due to the market hangover post C19 and AMD has had very weird issues ramping laptop SoC's ever since.
Alternatively, Intel either threw a lot of MDF at MS to stop that, and/or their obsession with weak Qualcomm WARMbook parts was clouding their thoughts. LNL effectively has zero comp in PC, WoA isn't good enough, Qualcomm took way too long to make a powerful SoC, and AMD is probably happy to let Intel off the hook a bit, helps Intel help AMD and the rest of the industry beat NV.
LNL will take the W by default, still has issues with area eff but hopefully power is actually solid.
 

mikk

Diamond Member
May 15, 2012
4,155
2,165
136
Because Lunar Lake is actually interesting and AMD doesn't have a direct competitor. Arrow Lake is just a worse version of Strix Point/Granite Ridge.

I was thinking about this year. By only mentioning ARL-S and LNL it seems only these two are planned for this year and ARL-H won't come this year. Basically only the N3B chips are coming this year and 20A needs more time.

This news collaborates:

 

Tigerick

Senior member
Apr 1, 2022
683
565
106
Because Lunar Lake is actually interesting and AMD doesn't have a direct competitor. Arrow Lake is just a worse version of Strix Point/Granite Ridge.
Does ARL-S 8+16 comes with HT? If not, then with 24 threads, I could see why Zen5 has better chance to win the performance crown....
 

Tigerick

Senior member
Apr 1, 2022
683
565
106
Some new information:-

  • ARL-H (6P+8E) and ARL-HX (8P+16E) are both based on N3B
  • ARL-S 6P+8E & lower are based on 20A (ARL-S 8P+16E are based on N3B)
  • ARL-H, ARL-HX and "ARL-U" all target CES 2025 for launch
  • ARL-U is new, should be based on 20A with 2P+8E?
 

SiliconFly

Golden Member
Mar 10, 2023
1,072
556
96
Some new information:-

  • ARL-H (6P+8E) and ARL-HX (8P+16E) are both based on N3B
  • ARL-S 6P+8E & lower are based on 20A (ARL-S 8P+16E are based on N3B)
  • ARL-H, ARL-HX and "ARL-U" all target CES 2025 for launch
  • ARL-U is new, should be based on 20A with 2P+8E?
Sounds excellent!

ARL-S & LNL are still 2024.
ARL-U can be on either 20A or N3B.
 

H433x0n

Senior member
Mar 15, 2023
933
1,032
96
Some new information:-

  • ARL-H (6P+8E) and ARL-HX (8P+16E) are both based on N3B
  • ARL-S 6P+8E & lower are based on 20A (ARL-S 8P+16E are based on N3B)
  • ARL-H, ARL-HX and "ARL-U" all target CES 2025 for launch
  • ARL-U is new, should be based on 20A with 2P+8E?
Looks like we'll be able to create a relatively analogous test with N3B & 20A process tech. I'm pretty sure you can configure ARL-S 8P+16E SKU as only having 6P+8E active within the bios and that can be used to compare clocks/efficiency against the 20A variant.
 

trivik12

Senior member
Jan 26, 2006
279
249
116
Some new information:-

  • ARL-H (6P+8E) and ARL-HX (8P+16E) are both based on N3B
  • ARL-S 6P+8E & lower are based on 20A (ARL-S 8P+16E are based on N3B)
  • ARL-H, ARL-HX and "ARL-U" all target CES 2025 for launch
  • ARL-U is new, should be based on 20A with 2P+8E?
This has to be 1st time we will see Intel cpus on 2 nodes. Would be easy to compare how they perform/efficiency etc. That said not sure about Intel 4/20A nodes. Seems transition node with minimal products. Would they convert those to Intel 3/18A?
 

DavidC1

Senior member
Dec 29, 2023
251
392
96
"ARL-U" is a Meteor Lake refresh on Intel 3.
This would support the notion that whatever messups the process development team had, the design team is just as bad if not worse. The running joke was design team deficits were made up by the process team.

Also @Exist50 said server division is mostly ok now, while he didn't seem to be sure about client.

Sierra Forest and Granite Rapids 6 months earlier is proof?
This has to be 1st time we will see Intel cpus on 2 nodes. Would be easy to compare how they perform/efficiency etc. That said not sure about Intel 4/20A nodes. Seems transition node with minimal products. Would they convert those to Intel 3/18A?
I wouldn't be surprised if 20A isn't too favorable. Intel 4/20A may be parametrically worse than paper specs suggest so it can be quickly replaced by 3/18A.
Sounds excellent!

ARL-S & LNL are still 2024.
ARL-U can be on either 20A or N3B.
How's this excellent? Way to spin things.

All Arrowlake on 20A = Good
ARL-S on N3B and -H/U on 20A = Meh
Limited, small die ARL-S on 20A, N3B for rest, MTL Intel 3 for some = What?!
 
Last edited:

Markfw

Moderator Emeritus, Elite Member
May 16, 2002
25,671
14,676
136
This would support the notion that whatever messups the process development team had, the design team is just as bad if not worse. The running joke was design team deficits were made up by the process team.

Also @Exist50 said server division is mostly ok now, while he didn't seem to be sure about client.

Sierra Forest and Granite Rapids 6 months earlier is proof?
I have not seen any evidence that server chips for Intel are "mostly OK'. Do you have any evidence ?

What I mean is, any benchmarks on those chips compared to Genoa ?
 

SiliconFly

Golden Member
Mar 10, 2023
1,072
556
96
...The running joke was design team deficits were made up by the process team..
Jim Keller's first task at Intel was to fix exactly that. And he did. It was said his actions caused a lot of friction with the design heads which ultimately lead to his departure.

How's this excellent? Way to spin things.

All Arrowlake on 20A = Good
ARL-S on N3B and -H/U on 20A = Meh
Limited, small die ARL-S on 20A, N3B for rest, MTL Intel 3 for some = What?!
Starting from ARL, nodes aren't a bottleneck anymore for Intel as they now actually have node agnostic client designs in multiple foundries as planned. That is awesome actually. None of the competitors have such capabilities.
 

DavidC1

Senior member
Dec 29, 2023
251
392
96
Jim Keller's first task at Intel was to fix exactly that. And he did. It was said his actions caused a lot of friction with the design heads which ultimately lead to his departure.
How do the sentences even make sense? A "Fix" implies nearly complete overhaul, while at the same time he quit due to "friction".

Nope, that complete fix is up to job Pat and his team now.
Starting from ARL, nodes aren't a bottleneck anymore for Intel as they now actually have node agnostic client designs in multiple foundries as planned. That is awesome actually. None of the competitors have such capabilities.
You know, Pat has stated multiple times he wants to bring the company margins back into the 60-percentile range. It isn't happening without majority of the silicon share being at the factories.

And they created IFS for the exact reason that their own slowly-dwindling product line can't sustain the dramatic increase in difficulty and costs of new lithography every generation.

A successful Intel that fully aligns with it's own vision won't need such "node-agnostic" designs. The Foveros, I/O, and SoC tile would be on older Intel process, and the compute on the latest one. By Druid they should move graphics to 18A too. And IFS would bring in significant customers.

Everything else is a self-deceit ignoring the reality of the current situation.
 

coercitiv

Diamond Member
Jan 24, 2014
6,285
12,338
136
I have not seen any evidence that server chips for Intel are "mostly OK'. Do you have any evidence ?
He was talking about the division, as in the overall health of the teams. We've heard rumors in the past that server teams struggled with the workload after changes in their structure (e.g. validation was cut back, too many projects in flight etc). These rumors were indirectly confirmed by poor execution results and even Intel execs going on record and speaking about difficulties in execution. Lately we also heard the server design teams received the necessary support from management to get back on track. (more resources, better focus on the products that matter etc.)

We'll see if these rumors are true with the next batch of server products that must come out in 2024/2025. (e.g. Sierra Forest on Intel 3 and Clearwater Forest on 18A, both of which are E core designs)

What I mean is, any benchmarks on those chips compared to Genoa ?
Please, please stop this. I have tremendous respect for you and your life experience, but this behavior is becoming toxic for the forum. Not everything has to be adversarial, Intel vs AMD. If we want this community to continue a relatively healthy existence, we need to stop pigeonholing every discussion into propaganda for brand A or brand B. Some discussions are just about people sharing what they think or what they know/heard.
 

Tigerick

Senior member
Apr 1, 2022
683
565
106
I have not seen any evidence that server chips for Intel are "mostly OK'. Do you have any evidence ?

What I mean is, any benchmarks on those chips compared to Genoa ?
Asked and you get preliminary result of upcoming Sierra Forest against Bergamo:-


The test system consists of DP server solution. Each CPU is having 144 E-core without HT and running at 2.2GHz. That's mean the end results of performance comparison is between Intel's 288c/288T against AMD's 256c/512T. And AMD has performed more than double than SRF....

We don't know what TDP of Sierra Forest, some said much lower than AMD EPYC 9754's 360W, but the results are really bad against Bergamo not to mention upcoming Turin Dense.
 

Henry swagger

Senior member
Feb 9, 2022
397
255
106
Jim Keller's first task at Intel was to fix exactly that. And he did. It was said his actions caused a lot of friction with the design heads which ultimately lead to his departure.


Starting from ARL, nodes aren't a bottleneck anymore for Intel as they now actually have node agnostic client designs in multiple foundries as planned. That is awesome actually. None of the competitors have such capabilities.
I.m sure intel is using n3b for i7 and i9 because it clocks higher than the brand new node 20a.. mild said 6.5ghz can be reached on 8+16 not 8+32 yet.. he said his seen arrow lake cibebench results vs raptor lake
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |