Discussion Intel current and future Lakes & Rapids thread

Page 651 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

AMDK11

Senior member
Jul 15, 2019
314
206
116
What are you on about? Meteor Lake(Redwood Cove Core) is an evolution of Alder Lake/Raptor Lake on a smaller node. They look Identical in every way possible.

View attachment 63035

View attachment 63033
I dare say you are wrong. First of all, both photos are of different quality and based on them it is impossible to compare the logic of the x86 core. Secondly, there are better quality pictures of the RedwoodCove and GoldenCove core structure, on the basis of which the analysis that was made shows changes in Frontend, Backend, Integer and Load / Store. I can assure you that probably the roughly 18% higher IPCs over RaptorCove did not come out of thin air. Higher IPC is an extended and rebuilt x86 core and I assure you that I am almost sure that RedwoodCove is quite a big expansion and rebuilding of the x86 core, as you will see over time.
 
Reactions: Vattila

moinmoin

Diamond Member
Jun 1, 2017
4,989
7,759
136
I'm saying it's not the same core
Btw. this touches on something I'm curious about: die shots by Intel chips are still looking very rigid with still a lot of area spent on ring and mesh routes etc. With other chip designers changes to the look due to AI optimizes block and automated routing have made specific areas and block look way more messy and organic. It may be indeed a resolution thing, but looking at common die shots by Intel over the past decade or so one could easily get the impression there still haven't been fundamental changes to the layout (unlike e.g. the Zen core going from Zen 2 to 3 where the AI optimized blob changed shape and there appeared quite some dark silicon around it). Obviously "no changes" can't be as we know there have been quite some changes. But why the seeming rigidity? Isn't that additional effort better spent elsewhere?
 

Exist50

Platinum Member
Aug 18, 2016
2,445
3,043
136
I dare say you are wrong. First of all, both photos are of different quality and based on them it is impossible to compare the logic of the x86 core. Secondly, there are better quality pictures of the RedwoodCove and GoldenCove core structure, on the basis of which the analysis that was made shows changes in Frontend, Backend, Integer and Load / Store. I can assure you that probably the roughly 18% higher IPCs over RaptorCove did not come out of thin air. Higher IPC is an extended and rebuilt x86 core and I assure you that I am almost sure that RedwoodCove is quite a big expansion and rebuilding of the x86 core, as you will see over time.
Where on earth did 18% IPC come from?
 

nicalandia

Diamond Member
Jan 10, 2019
3,331
5,281
136
Btw. this touches on something I'm curious about: die shots by Intel chips are still looking very rigid with still a lot of area spent on ring and mesh routes etc. But why the seeming rigidity? Isn't that additional effort better spent elsewhere?
They have not changed their Ring Bus interconnect design sine the past 10 years and it takes a huge chunk of die space area(when compared to other modern CPU designs)
 

moinmoin

Diamond Member
Jun 1, 2017
4,989
7,759
136
They have not changed their Ring Bus interconnect design sine the past 10 years and it takes a huge chunk of die space area(when compared to other modern CPU designs)
Yeah, I wrote as much. But why (cue gif)? Why is it untouched to such a degree to this day?
 

nicalandia

Diamond Member
Jan 10, 2019
3,331
5,281
136
Yeah, I wrote as much. But why (cue gif)? Why is it untouched to such a degree to this day?
I would say that it might be the backbone of their performance(the core stretching at it's fullest) If you check Xeons their Mesh of rings is actually more efficient as per area used, but we know that in many ways Ring Bus>>Mesh for latency dependent apps(like games)
 

FangBLade

Member
Apr 13, 2022
199
395
106
No he didn't don't Lie! He said they would be open to using intel nodes, very big difference, and exactly what lots of companies said the last time intel tried to become a IDM.
Exactly, why would Nvidia use Intel node when even Intel doesn't use their own nodes for gpu LOL, they went to TSMC.
 
Reactions: Lodix

IntelUser2000

Elite Member
Oct 14, 2003
8,686
3,785
136
Yeah, OK, so that just makes it worse. Intel renamed 7nm with HP library "Intel 4" and 7nm with HD library "Intel 3". I guess that's one way to get to 5 nodes in 4 years. My numbers for Intel 4 HD should be right for Intel 3. Do you have a source for that 180 number?

Renames don't get 21% performance and 40% power reduction.

The needless focus on density was one of many factors they lost the process lead during the BK era. Don't know why you think that's a good thing.

Intel never had density lead. They were always behind. What they were good at was performance. TSMC 28nm was only 30% larger than Intel's 22nm process, when in fact 28nm was a very small shrink over 32nm and Intel's 22nm should have had 2x advantage not 1.3x.

Meteorlake compute tile is not big so the important part is performance and performance/watt. 18% improvement overall and 21% in certain scenarios is a full node improvement. They needed 4x 14nm plusses to get a single gain they got by moving to 10nm SF, and they got 4x 14nm gains again by going to ESF/Intel 7. And they will do it again on Intel 4. Not to mention you'll get the power efficiency gains only possible with a new node.

Yeah, I wrote as much. But why (cue gif)? Why is it untouched to such a degree to this day?

Sandy Bridge's ring bus significantly outperformed the interconnect in Nehalem and it worked wonders for them until quite recently. Why does it matter if they didn't optimize it? You know low level details that are often significant contributors don't show up in die shots right?
 
Last edited:

IntelUser2000

Elite Member
Oct 14, 2003
8,686
3,785
136
I forgot to add that 14nm and 10nm gains were mostly theoretical since the bread and butter Core didn't take advantage of that, AT ALL. I think Otellini sacrificed main PC business at a chance of getting mobile and BK completed it. Had they had a relevant mobile business, maybe, probably the density thing would have mattered.

No he didn't don't Lie! He said they would be open to using intel nodes, very big difference, and exactly what lots of companies said the last time intel tried to become a IDM.

Intel treated vendors horribly, and they never were serious about becoming a foundry until now. Read about how their culture was and why nobody would use theirs. The IDM 2.0 Pat calls it did a LOT to change the attitude and actual tools and methodologies to become a serious foundry. That's why Qualcomm and Nvidia changed their stance. Previous "foundry" attempt was really an afterthought. They said the bad attitude became worse when BK came in. The former Intel had to "fall" since at their peak they became too overconfident and only hurt and pain gives way to real change.

They said Tower acquisition is a critical process in getting to that step, because Intel was really about fabricating CPUs for themselves and nothing else.
 

moinmoin

Diamond Member
Jun 1, 2017
4,989
7,759
136
I would say that it might be the backbone of their performance(the core stretching at it's fullest) If you check Xeons their Mesh of rings is actually more efficient as per area used, but we know that in many ways Ring Bus>>Mesh for latency dependent apps(like games)
The mesh also uses more power.

One of the things Jim Keller pushed at AMD was a more flexible interconnect which turned into Infinity Fabric. And that's not only used for data transfer (scalable data fabric) but especially for internal control and monitoring (scalable control fabric) which, along with all kinds of sensors, allowed to speed up development and debugging of new designs. Wonder if Jim Keller managed to push something similar at Intel? I guess as long as Intel's cores dies use the familiar ring bus or mesh he clearly didn't have an impact in that specific area.

But other areas seem to barely change as well. It's like the different blocks are sectioned off and different isolated groups of employees get to work only on their specific block with little interaction. That's how I visualize the reason for barely any macro layout changes in Intel's core chips. In comparison Intel's atom designs on the other hand saw significant easily visible changes.

I think Otellini sacrificed main PC business at a chance of getting mobile and BK completed it. Had they had a relevant mobile business, maybe, probably the density thing would have mattered.
It's odd that Intel is still very much focused on mobile, with some designs like TGL mobile only, but shared designs like ADL then still tuned to desktop instead. As odd as it may sound even back when being the self-centric IDM Intel was pretty bad at making the best of its available capabilities.
 
Last edited:

Exist50

Platinum Member
Aug 18, 2016
2,445
3,043
136
But other areas seem to barely change as well. It's like the different blocks are sectioned off and different isolated groups of employees get to work only on their specific block with little interaction. That's how I visualize the reason for barely any macro layout changes in Intel's core chips. In comparison Intel's atom designs on the other hand saw significant easily visible changes.
I'm pretty sure that part of what we're seeing in the core is hand layout vs synthesized. IIRC, Core is disproportionately by hand, while Atom is synthesizable. I don't think that distinction applies for the ring though, so maybe I'm off.
 
Last edited:

Henry swagger

Senior member
Feb 9, 2022
397
255
106
I forgot to add that 14nm and 10nm gains were mostly theoretical since the bread and butter Core didn't take advantage of that, AT ALL. I think Otellini sacrificed main PC business at a chance of getting mobile and BK completed it. Had they had a relevant mobile business, maybe, probably the density thing would have mattered.



Intel treated vendors horribly, and they never were serious about becoming a foundry until now. Read about how their culture was and why nobody would use theirs. The IDM 2.0 Pat calls it did a LOT to change the attitude and actual tools and methodologies to become a serious foundry. That's why Qualcomm and Nvidia changed their stance. Previous "foundry" attempt was really an afterthought. They said the bad attitude became worse when BK came in. The former Intel had to "fall" since at their peak they became too overconfident and only hurt and pain gives way to real change.

They said Tower acquisition is a critical process in getting to that step, because Intel was really about fabricating CPUs for themselves and nothing else.
Yeah pat is bringing a revolution at intel
 

repoman27

Senior member
Dec 17, 2018
370
519
136
Renames don't get 21% performance and 40% power reduction.

The needless focus on density was one of many factors they lost the process lead during the BK era. Don't know why you think that's a good thing.

Intel never had density lead. They were always behind. What they were good at was performance. TSMC 28nm was only 30% larger than Intel's 22nm process, when in fact 28nm was a very small shrink over 32nm and Intel's 22nm should have had 2x advantage not 1.3x.

Meteorlake compute tile is not big so the important part is performance and performance/watt. 18% improvement overall and 21% in certain scenarios is a full node improvement. They needed 4x 14nm plusses to get a single gain they got by moving to 10nm SF, and they got 4x 14nm gains again by going to ESF/Intel 7. And they will do it again on Intel 4. Not to mention you'll get the power efficiency gains only possible with a new node.
OK, let's not rewrite history here. Intel absolutely had the lead in terms of both density and performance for many years. They were crushing it. And they literally renamed their 7nm nodes from "7" and "7+" to "Intel 4" and "Intel 3".

What exactly is getting a "21% performance and 40% power reduction"? As compared to what? Since the 2014 launch of Broadwell on 14nm, up until today with the availability of Alder Lake on Intel 7, Intel has delivered 52.6% in cumulative performance improvements at ISO leakage. That's a CAGR of just 5.4%. As long as other foundries continue to advance faster, Intel cannot catch up and will only fall further behind. Moving from 16nm FinFET in 2015 to N5P today, TSMC has delivered 62% performance gains at ISO power for a CAGR of 7.1%. Intel 4 and TSMC N3 will be shipping at the same time. How do they compare?

Increasing density remains an essential part of reducing transistor cost, and Moore's Law is the engine that drives the entire industry. It can't be ignored. That being said, "hyperscaling" clearly didn't work and was a bad move.

I'm not saying Intel is doomed, and I really do hope they can regain their manufacturing prowess, but these Intel 4 disclosures paint an extremely bleak picture for Intel's financials over the next couple years.
 
Reactions: Lodix

JasonLD

Senior member
Aug 22, 2017
486
447
136
What exactly is getting a "21% performance and 40% power reduction"? As compared to what? Since the 2014 launch of Broadwell on 14nm, up until today with the availability of Alder Lake on Intel 7, Intel has delivered 52.6% in cumulative performance improvements at ISO leakage. That's a CAGR of just 5.4%. As long as other foundries continue to advance faster, Intel cannot catch up and will only fall further behind. Moving from 16nm FinFET in 2015 to N5P today, TSMC has delivered 62% performance gains at ISO power for a CAGR of 7.1%. Intel 4 and TSMC N3 will be shipping at the same time. How do they compare?

Well, CAGR is on TSMC's favor because Intel has been stuck on 14nm for 4 years lol. If Intel progresses as planned until 18A, CAGR will probaly swing to Intel's favor. For "21% perf/40% power reduction" I think Anandtech article already explained on that?
 

IntelUser2000

Elite Member
Oct 14, 2003
8,686
3,785
136
It's odd that Intel is still very much focused on mobile, with some designs like TGL mobile only, but shared designs like ADL then still tuned to desktop instead. As odd as it may sound even back when being the self-centric IDM Intel was pretty bad at making the best of its available capabilities.

I don't mean mobile as in Tigerlake. Tigerlake is nowhere near where it should be. Mobile in this case means when they shifted their process focus in 22nm to get Atom Smartphone/Tablets going.

Tigerlake uses the same base design set as desktop. That's where they really lost things. Apple coming up from behind shouldn't have happened with a company more aware of things. They shouldn't have needed to ask Otellini to get it going. It should have been a natural, organic progression where they made efficient platforms and it would have been accepted. Not forced. It took them 5 years until Medfield, and 4 years since the iPhone to get a platform anywhere near competitiveness. Like even the in-order Atom would have been enough, if they had the ultra low platform power and on-die chipset ready. It was always an afterthought, like appeasing a relentless fly hovering around you.

OK, let's not rewrite history here. Intel absolutely had the lead in terms of both density and performance for many years. They were crushing it. And they literally renamed their 7nm nodes from "7" and "7+" to "Intel 4" and "Intel 3".

Can we NOT mention the name change again? Because it's TSMC and others that started it. It's annoying but Intel merely changed the metric to be roughly in line with everyone else. TSMC 7 is roughly equal to Intel 7 for all intents and purposes. You should be aware that metric completely failed starting with TSMC "20nm". That's really a 23-24nm process.

Intel's process lead is often recounted as almost fond moment in history. They had the lead but it's not 4+ years, or even 3 years as people were saying. They had 2 year lead. 22nm for example only had density lead because it came out first, not because it was actually dense as if TSMC had 22nm. Like TSMC 28nm was more dense than Intel 32nm.

Intel admitted it themselves. TSMC 28nm was only 1.3x in size over Intel 22nm, not 2x. That's why they had this focus on density. But it was retarded since no one cared because Intel process had undisputable performance lead.

Intel's 22nm had 2.5 year lead in timing but was more than half generation behind TSMC 20nm in density but it had one+ generation lead in performance. 2 years, maybe 2.5 years at the best.

What exactly is getting a "21% performance and 40% power reduction"?

I don't even know how to reply to this. It's a industry standard performance metric for process since... ever! You are not going to get exactly that on a CPU because you have hundred other factors.

Where are you getting your 62% number for TSMC? Let me guess, their claims for their process which uses the same metric as everyone else including Intel on their presentation. Certainly not on a product.

but these Intel 4 disclosures paint an extremely bleak picture for Intel's financials over the next couple years

I kinda disagree. If you want high financials and stock prices, bring back Brian Kraznich. Intel stayed about $30 billion revenue for many years, including when they got Core 2. In fact Core 2 did almost nothing. It's when marketing got really involved and started using the Core ix branding their revenue grew.
 
Last edited:

IntelUser2000

Elite Member
Oct 14, 2003
8,686
3,785
136
@moinmoin Regarding Intel and IDM.

And I know why they were so reluctant(even today) to move to an on-die PCH. Same reason as why they were stuck with the GTL+ bus introduced with the Pentium Pro in 1995. They needed a companion chip working on an older process to fill up their fabs and maximize revenue. It took them until Nehalem in 2010 to get an on-die memory controller and point-to-point bus! They weren't as lazy as much as choosing to do so.

The current Intel under Pat Gelsinger admitted this in their IDM 2.0 presentation. If you have customers using variety of your processes, then you don't need to artificially cap your product line to fill up a fab. Then your designs aren't constrained by fab needs.

That's why they wanted EMIB and Foveros so bad. They knew to get the laptop power down they needed an on-die PCH, but that meant they couldn't amortize the older fab anymore. And when I say "older" I mean mere 1 generation behind. It's not like they were deprecating a 90nm fab in 2015!

Once you can get a way to keep multiple processes and fabs and use them all on a single chip with power and performance needs within ball park of the on-die adaptation, then you get the ideal scenario.

If they get this whole tile thing working, then the shift is titanic for them. Like when they moved the Northbridge on-die after 15 years. Combined with a very good base core, it absolutely dominated. The company is very aware what's needed to make a product like that, but business plans, bureaucratic reasons, and fianance get in the way.
 
Last edited:

Exist50

Platinum Member
Aug 18, 2016
2,445
3,043
136
@moinmoin Regarding Intel and IDM.

And I know why they were so reluctant(even today) to move to an on-die PCH. Same reason as why they were stuck with the GTL+ bus introduced with the Pentium Pro in 1995. They needed a companion chip working on an older process to fill up their fabs and maximize revenue. It took them until Nehalem in 2010 to get an on-die memory controller and point-to-point bus! They weren't as lazy as much as choosing to do so.

The current Intel under Pat Gelsinger admitted this in their IDM 2.0 presentation. If you have customers using variety of your processes, then you don't need to artificially cap your product line to fill up a fab. Then your designs aren't constrained by fab needs.

That's why they wanted EMIB and Foveros so bad. They knew to get the laptop power down they needed an on-die PCH, but that meant they couldn't amortize the older fab anymore. And when I say "older" I mean mere 1 generation behind. It's not like they were deprecating a 90nm fab in 2015!

Once you can get a way to keep multiple processes and fabs and use them all on a single chip with power and performance needs within ball park of the on-die adaptation, then you get the ideal scenario.

If they get this whole tile thing working, then the shift is titanic for them. Like when they moved the Northbridge on-die after 15 years. Combined with a very good base core, it absolutely dominated. The company is very aware what's needed to make a product like that, but business plans, bureaucratic reasons, and fianance get in the way.
I really don't think on-die PCH is going to be the panacea you're hoping for. I mean, it's nice to have, sure, but I would be pleasantly surprised if Meteor Lake has radically better battery life than Tiger Lake (using as baseline in light of the Alder Lake regression). Lunar Lake would hopefully show more of the setup's potential, but if not that, assuredly Nova Lake.
 

repoman27

Senior member
Dec 17, 2018
370
519
136
Can we NOT mention the name change again?
Sorry, I think you misunderstood my response. I'm fine with Intel calling their nodes whatever they want. Intel 4 is definitely a different node than Intel 7, and there are real performance differences. However, you started your previous response to me with "Renames don't get..." And I was never implying that Intel 4 was simply a renamed Intel 7, I was talking instead about the fact that Intel 4 and Intel 3 were both formerly part of what Intel called 7nm.

Intel's process lead is often recounted as almost fond moment in history...
As far as I can recollect, Intel was in the lead for nearly a decade. Pretty much from the introduction of Intel 45nm in 2007 until TSMC and Samsung 10nm arrived in 2017.

I don't even know how to reply to this. It's a industry standard performance metric for process since... ever! You are not going to get exactly that on a CPU because you have hundred other factors.
Once again, apologies, that wasn't what I was on about. I had no idea which processes those numbers were in reference to because it wasn't stated. JasonLD cleared it up for me.
 
Last edited:

repoman27

Senior member
Dec 17, 2018
370
519
136
Well, CAGR is on TSMC's favor because Intel has been stuck on 14nm for 4 years lol. If Intel progresses as planned until 18A, CAGR will probaly swing to Intel's favor. For "21% perf/40% power reduction" I think Anandtech article already explained on that?
Yes, thanks for clearing that up. If Intel 4 and TSMC N3 both perform as hyped, midway through 2023 Intel's CAGR will pop up to 6.7% while TSMC's will improve slightly to 7.3%, so closing the gap I suppose.
 

moinmoin

Diamond Member
Jun 1, 2017
4,989
7,759
136
I'm pretty sure that part of what we're seeing in the core is hand layout vs synthesized. IIRC, Core is disproportionately by hand, while Atom is synthesizable. I don't think that distinction applies for the ring though, so maybe I'm off.
That's the traditional distinction indeed. But hand layout was on the way out over a decade ago already, can't really imagine Intel relying on it that heavily even today in 2022. Though if it really is, I guess the move to standardized tools as part of IFS 2.0 may finally force the use of more modern approaches (or exactly because that change is coming they don't change stuff already in the pipeline and being worked on for so many years, that could also be possible).

If they get this whole tile thing working, then the shift is titanic for them.
Thanks for the elaboration, matches with my impressions. I guess it helps to realize how much of Intel's currently visible status quo is like a Titanic to really appreciate the titanic shift Intel hopefully manages to successfully go through.

I really don't think on-die PCH is going to be the panacea you're hoping for.
I wouldn't call it a panacea, but it's definitely a symptom of a larger struggle at Intel on many different levels.

You should really ask @dmens if he's willing to discuss it. He could probably shed some light on this matter.
Input by @dmens is always appreciated indeed. While I think we all hope Intel eventually manages to finally solve all of its issues, his recollections are good refreshers where all Intel faces internal inertia it has to cope with and solve.
 

witeken

Diamond Member
Dec 25, 2013
3,899
193
106
RE: Intel 4/3 transistor density discussion

The goal of this post is to find out what density Intel 3 could achieve.

[TLDR]
Although at first sight I, too, was disappointed by Intel 4, more careful analysis has shown that Intel should readily be able to achieve its 2x density target for Intel 3, even on the HD library.

Hint: the key to the Moore's Law kingdom during the FinFET era has been fin depopulation, fin depopulation and even more fin depopulation.

Intel 3 could finally achieve what was deemed unthinkable: the holy grail single fin transistor cell.

[clarification/obfuscation]
Intel has made two separate claims for Intel 3:

1. "Denser HP library" -> likely using the increased performance of Intel 3 for fin depopulation and/or using the increased EUV usage to reduce some pitches
2. "Higher performance library" -> this is the wording in the Investor Meeting presentation on the slide, but Ann said "with the addition of a denser HP library"

Since 10nm has 3 libraries (short/mid/tall) and Intel 4 has only the tall library, the introduction of an even "higher performance" library seems unlikely. More likely, Intel could have meant that the performance of the HP (tall cell) library will be higher due to the increased drive current in Intel 3.

Note that the claim of higher performance makes fin depopulation unlikely, so this implies that Intel 3 uses more EUV not just to replace SADP layers, but also for pitch reduction, although this seems quite exceptional for an intra-node, unless Intel on purpose is positioning Intel 3 as a half-node to compete more effectively against TSMC N3.

[clarification/obfuscation 2]
The Intel 4 presentation shows how the HP library goes from 4+4 fins per cell to 3+3 fins per cell. However, WikiChip showed in 2018 how the 408nm cell actually has room for 4+5 fins: https://fuse.wikichip.org/news/2004...m-standard-cell-library-and-power-delivery/3/.

[observation]
Going from 4+5 fins per CMOS to 3+3 fins per CMOS from 10nm to Intel 4 is quite impressive and is a testament to the performance improvements Intel has delivered from 10nm+ (Ice Lake) to Intel 3. By my calculation performance is increased by nearly 2x (despite the 1.5x fin depopulation): 1.18 (10SF) * 1.15 (7) * 1.21 (4) * 1.18 (3) = 1.94. This implies performance per fin has increased by around 3x in just one full node (albeit many intra-nodes).

Adding this all up, Intel 4 HP library achieves a 1.5x reduction in fin count (going from 4+5 to 3+3) compared to Intel 7 while simultaneously delivering 1.21x performance. Intel 3 will add a further 1.18x performance.

[extrapolation]
By using the observation above, one can now extrapolate how many fins Intel would need in the Intel 3 library in order to maintain the same performance as 10SF or Intel 7.

Intel 7: HD library has 5 fins -> reduce by 1.5x due to fin depopulation -> Intel 4 still delivers 1.21x performance (-> Intel 7 improves performance by 1.15x) -> Intel 3 delivers 1.18x performance -> results in 2.3 (2) fins per transistor.

In other words, this calculation shows that a 2-fin Intel 3 cell could have the same performance as a 5-fin 10nm SuperFin cell.

[scenario 1]
According to my analysis, assuming no changes in pitches from 4->3, Intel 3 HD library ("Intel 4 HD library") will have a density of either 160MTr/mm2 (2+2 fins), 200MT (1+2 fins) or 240MTx (1+1 fins).

Note that (as mentioned) compared to Ice Lake 10nm, Intel 3 will have almost 2x performance (1.18*1.15*1.21*1.18), so a 1+2 or even 1+1 single fin configuration doesn't seem as crazy as it might first sound. Intel is squeezing every bit of performance out of their last FinFET node.

[scenario 2]
Intel 3 is definitely *not* just the Intel 4 HD lib since Intel claims +18% perf. In addition, as Exist50 remarked (and as discussed), Intel said Intel 3 will have denser HP libraries. This means there must be some changes in pitches and/or fin depopulation.

In the case of denser pitches (which could be possible given the increased use of EUV), these pitches will also affect the HD library obviously, so the the density values above should be regarded as worst-case.

In the case of fin depopulation, then likewise it makes sense for the HD library to follow suite, favoring the 1/2 or 1/1 configurations that deliver at least 200MT density, accomplishing the 2x jump in density.

[conclusion]
Intel has previously changed pitches in its intra-nodes, at both 14nm and 10nm, but that always consisted of actually *reducing* the gate pitch. As such, on first sight it might seem most obvious that Intel would do further fin depopulation in Intel 3 HP library. However, Intel said that the library would be both denser and higher performing. This suggests that the increased EUV usage might be used for some pitch reduction, making Intel 3 more of a half-node than an intra-node.

Nevertheless, Intel did disclose that it still uses SAQP on the M0 layer, and perhaps some SADP, which provides at least one opportunity to increase EUV usage. As mentioned there is ultimately no tangible evidence yet that Intel 3 is a half-node (pitch changes) rather than an intra-node.

In any case, the most amazing observation is that Intel has doubled or even tripled performance (per fin) in just one full node generation (10nm->7nm), albeit via many intra-nodes. This lends credence to the introduction of a 1+2 or even 1+1 fin HD library, achieving respectively a 2x or up to 2.4x improvement in transistor density.

While a 1+1 fin library seems incredible (coming from a 2+3 library), I wouldn't yet rule it out given the 2.4x density claim by BK in 2018. In addition, going from a 4+5 (9 fin) to 3+3 (6 fin) library from Intel 7 to Intel 4 already shows that Intel can do both fin depopulation as well achieve its performance targets simultaneously.


@repoman27 @JasonLD @IntelUser2000 @Ajay @JoeRambo @DisEnchantment @Exist50
 
Last edited:

repoman27

Senior member
Dec 17, 2018
370
519
136
RE: Intel 4/3 transistor density discussion
Good stuff. I guess I just ruled out anything less than 2+2 fins for Intel 3. Partly because:

a.) There's nowhere to go after 1+1. (But I guess if this is the last FinFET generation, why not YOLO it?)
b.) You're 100% committed to the performance of every single fin being good enough to get the job done. Yields would probably take a beating, even if the performance is there on paper.
c.) This node will supposedly be offered to outside customers.
d.) I assumed Intel 3 would simply include the usual potpourri of DTCO enhancements to achieve the rather vague increased density / increased performance claims.

Also, wouldn't metal pitch start to limit things going to 1+1? Is less than 5 track viable?
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |