Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 135 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
683
565
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,971
  • LNL.png
    881.8 KB · Views: 25,443
Last edited:

coercitiv

Diamond Member
Jan 24, 2014
6,285
12,339
136
Raptor Cove is the highest performing x86 CPU available today
And I'm the smartest male person in the room. Look at server, look at mobile, if they truly have the lead in CPU design why is their home turf under constant siege?

That being said, there have been much worse times for Intel than today, and they still used to share more about their upcoming products: think Icelake, Tiger Lake, even poor old Lakefield got a spotlight. Intel's "silence" seems to be a recent development.
 

SiliconFly

Golden Member
Mar 10, 2023
1,072
556
96
And I'm the smartest male person in the room. Look at server, look at mobile, if they truly have the lead in CPU design why is their home turf under constant siege?

That being said, there have been much worse times for Intel than today, and they still used to share more about their upcoming products: think Icelake, Tiger Lake, even poor old Lakefield got a spotlight. Intel's "silence" seems to be a recent development.
Totally agree. And it doesn't inspire much confidence.
 

SiliconFly

Golden Member
Mar 10, 2023
1,072
556
96
Foundry I give you but Raptor Cove is the highest performing x86 CPU available today, how did they lose the lead in CPU design?
RWC is good. But I don't think we should read too much into it. Intel themselves are giving up RWC (for LNC), cos' RWC has reached evolutionary dead end. And LNC isn't inspiring much confidence either as we speak.
 

dullard

Elite Member
May 21, 2001
25,137
3,540
126
Intel's "silence" seems to be a recent development.
I honestly do not get this concept of silence. Intel has released details like:


If you don't understand that chart, focus on the red bold underlined text above. For Meteor Lake, Intel 4 benefits are used for 20% power efficiency and not much for CPU performance increases. For the rest, see the OP in this thread: ~2X graphics performance.

Yet, you claim Intel is silent?
 
Last edited:

coercitiv

Diamond Member
Jan 24, 2014
6,285
12,339
136
I honestly do not get this concept of silence. Intel has released details like:

Yet, you claim Intel is silent?
You don't get the concept because you're not following the discussion: folks have observed that Intel was more willing to share performance related figures in the past (either directly or indirectly through IPC estimates, clock increase claims etc).

It's fine if you disagree, it's also fine if you think it matters little in grand scheme of things. I'm not too concerned about it either.

If you don't understand that chart, focus on the red bold underlined text above.
I'm sorry, I can't focus on red bold text.
 

Geddagod

Golden Member
Dec 28, 2021
1,165
1,049
106
I honestly do not get this concept of silence. Intel has released details like:
View attachment 88134

If you don't understand that chart, focus on the red bold underlined text above. For Meteor Lake, Intel 4 benefits are used for 20% power efficiency and not much for CPU performance increases. For the rest, see the OP in this thread: ~2X graphics performance.

Yet, you claim Intel is silent?
Ye, I claim Intel is pretty silent.
The MTL slides was hilariously full of "fluff". Though that could easily be waved away as it wasn't "launch" yet. The Intel 4 perf/watt graph was shown like months ago btw. I will admit, on the foundry side they have been a bit more outspoken, but they were terribly silent on Intel 10nm+ changes, lowering density with Intel 10nm SF, etc etc.
It's funny, there was q/a section on the Intel discord server about MTL. All the fluff questions were answered, the harder hitting questions- transistor count for MTL, changes in architecture- all ignored.
And this isn't MTL specific, when was the last time we got a transistor count number for an Intel product? I think an SPR engineer slipped up in an interview tbh, where we got that chip's density, but nothing Intel actually publicizes
AMD's ISCCC slides about their cores- every generation really, are a goldmine of interesting technical info on the development of the chip. Where's Intel's equivalent?
The only thing I would say Intel has a leg up in transparency is development timeline achievements (tape in, power on, etc etc) but that's more on them trying to prove that they aren't delayed, and tbh aren't too interesting either.
Also, it's very cool we got shots of the dies, but notice how there aren't any detailed die shots of wafers anymore (before launch). Pretty sure Intel saw people sussing out RWC size, and decided not to do that anymore xD
 

Thunder 57

Platinum Member
Aug 19, 2007
2,753
3,977
136
I honestly do not get this concept of silence. Intel has released details like:
View attachment 88134

If you don't understand that chart, focus on the red bold underlined text above. For Meteor Lake, Intel 4 benefits are used for 20% power efficiency and not much for CPU performance increases. For the rest, see the OP in this thread: ~2X graphics performance.

Yet, you claim Intel is silent?

And what do people care about? CPU performance! They are silent on that.
 

Geddagod

Golden Member
Dec 28, 2021
1,165
1,049
106
Area is primarily dependent on foundry. Did you read my entire sentence or just parse what you wanted it to say?
Even compared to Zen 3, the area is hilariously bad.
And RWC, which is esentially just ported RPC, is also much bigger than Zen 4
Intel has an area problem, regardless of what node they use. It's a design issue.
And yes, I did read your entire sentence, which is why I pointed out your just ignoring area lmao
 
Reactions: Tlh97

SiliconFly

Golden Member
Mar 10, 2023
1,072
556
96
Foundry I give you but Raptor Cove is the highest performing x86 CPU available today, how did they lose the lead in CPU design?
When we talk about next generation design, people generally focus on the big cores and usually overlook other important parts of the CPU.

For example, AMD's dense cores are awesome compared to the silly Intel E cores. They both are similar in size but AMD's dense cores are very performant and fully featured compared to the neutered Intel E cores.

So... yep. Intel has messed up a little in CPU design & they have a long way to go before taking the lead. Sadly, they're just not the best anymore.
 

dullard

Elite Member
May 21, 2001
25,137
3,540
126
And what do people care about? CPU performance! They are silent on that.
I included multiple videos of CPU performance. It is about the same CPU performance per core as Rocket Lake--give or take a little. It is CPU power efficiency that we will get the next couple of releases.
 

dullard

Elite Member
May 21, 2001
25,137
3,540
126
You don't get the concept because you're not following the discussion: folks have observed that Intel was more willing to share performance related figures in the past (either directly or indirectly through IPC estimates, clock increase claims etc).

It's fine if you disagree, it's also fine if you think it matters little in grand scheme of things. I'm not too concerned about it either.
Oh, I understand the discussion and didn't comment until your ridiculous statement that Intel is silent. Sure, Intel could provide more, but you went so over the top by saying Intel is "silent" that I had to respond to show how wrong "silent" is.
 
Reactions: mikk

Hulk

Diamond Member
Oct 9, 1999
4,279
2,099
136
Even compared to Zen 3, the area is hilariously bad.
And RWC, which is esentially just ported RPC, is also much bigger than Zen 4
Intel has an area problem, regardless of what node they use. It's a design issue.
And yes, I did read your entire sentence, which is why I pointed out your just ignoring area lmao
Are you really laughing your ass off? If so please tell me what you're on so I can get some of that!

But back on topic. Zen 4 and Golden Cove architectures are very comparable when it comes to IPC. While we don't know the exact numbers I bet the total numbers of transistors are in the same ballpark as well. Which of course means the difference in transistor density is mainly a result of the superior TMSC process vs Intel 7.

So I will write it one last time in hopes communicating more clearly. AMD has an area advantage over Intel but it is mainly due to the superior process upon which their parts are fabricated compared to Intel.

Hopefully I again wrote something funny so you can fall off your chair laughing. I'm here to entertain
 
Reactions: igor_kavinski

Geddagod

Golden Member
Dec 28, 2021
1,165
1,049
106
Are you really laughing your ass off? If so please tell me what you're on so I can get some of that!
oooh you cussed, telling the mods, that's a no-no word /s
But yes, I do find it hilarious that you think that me pointing out how you are not mentioning one of the three most important aspects of chip design, you know, the whole PPA shtick- area, and only saying, "wow look at how high perf RPC is, what a good core wowee"- is me twisting your words or anything.
Zen 4 and Golden Cove architectures are very comparable when it comes to IPC. While we don't know the exact numbers I bet the total numbers of transistors are in the same ballpark as well
The problem is that design isn't just "transistor count". Usage of HP vs UHP vs HD is another aspect- and Intel has shown that they are unable to use dense cells while esentially the rest of the industry is transitioning to higher density cells, where sure you lose a bit of peak clocks, but you gain a bunch in area (and thus lower leakage) while also being able to get massive IPC cores without blowing up the cores.
In fact, I suspect that they used a lot of HD cells in PLMC (which is why density was actually comparable to Zen 2), and look how that turned out. There's a reason why SNC is so much larger than PLMC, while transistor density between 10nm and 10nm+ did not change according to Techinsights.
Also, idk what you mean by "in the same ballpark", but I don't think GLC has a similar transistor count as Zen 4. Just look at the structure sizes, GLC has way larger structure sizes in many aspects compared to Zen 4.
Which of course means the difference in transistor density is mainly a result of the superior TMSC process vs Intel 7.
Doubt
AMD has an area advantage over Intel but it is mainly due to the superior process upon which their parts are fabricated compared to Intel.
Look at Zen 3 vs GLC. GLC is ~20% performant, ~ as efficient, but like 75% larger. Both on 7nm nodes.
Look at RWC vs Zen 4. ~performance, let's say ~20% more efficient (I don't think it will be, but am highballing it a lot) but then ~40% larger. This one honestly isn't as bad, but still...
Equalize the process, Intel cores are still disproportionately larger than what their performance or efficiency gains give it. This hurts them- a lot- especially in server.

Btw, all this, it's just core design. Intel's design throughout the rest of the chip is just as important... and looks to be just as poor. Intel's poor L3 subsystem is pretty sad, and this is especially apparent in server too (look at SPR's L3 bandwidth and latency problems). And look at the even poorer silicon area efficiency of SPR vs Milan, or even GNR vs Genoa. It's really bad.
 

DrMrLordX

Lifer
Apr 27, 2000
21,737
11,054
136
Any thoughts on this?


Arrow Lake-H belongs to C065 which has different (less) ISA features than ARL-S C066. How does it makes sense? Usually it means different CPU architecture. They can't use Redwood Cove/Crestmont for ARL-H on Intel 3 or 20A?

That is . . . odd. And concerning. Could it be something to do with C065 using different e-core clusters?
 

coercitiv

Diamond Member
Jan 24, 2014
6,285
12,339
136
Sure, Intel could provide more
I'm glad we agree.

but you went so over the top by saying Intel is "silent" that I had to respond to show how wrong "silent" is.
Oh yes, you showed me in red bold text just in case I was having problems reading charts. The reality is you got triggered and jumped to defend Intel even if I wasn't really attacking them. They provide less info on expected future performance/efficiency, that by itself has no clear meaning until we get to see the product. It could be a good thing. It could even be a temporary lapse, they may share more about ARL for example. But hey, you do you, find someone who offends your sense of technological justice and help set the record straight.
 
Reactions: MangoX

Thibsie

Senior member
Apr 25, 2017
788
858
136
Any thoughts on this?


Arrow Lake-H belongs to C065 which has different (less) ISA features than ARL-S C066. How does it makes sense? Usually it means different CPU architecture. They can't use Redwood Cove/Crestmont for ARL-H on Intel 3 or 20A?
That's normal Intel. It doesn't make any sense, indeed.
 

tamz_msc

Diamond Member
Jan 5, 2017
3,836
3,668
136
Intel's area "problem" is more of an issue in the data center. Something they're beginning to address starting with Emarald Rapids.
 

eek2121

Platinum Member
Aug 2, 2005
2,990
4,136
136
The Conroe preview is exactly what I was thinking of. If they had a winner like that, they would be shouting from the rooftops.
This isn’t a full release. Meteor Lake is mostly just a small subset of mobile.

Besides, they have shared tons.
Isn't the display the largest power draw in a laptop? Also aren't the Zen 4 laptops really efficient?
VRR displays really help with battery life. A VRR OLED display supporting say, 1-165hz should consume almost nothing.

That being said , a loaded SoC still consumes most of the power. Note that both of these factors can be isolated and tested independently.

My (cheap LED) display in my laptop may consume 3-10 watts depending on settings, but the 45W Cezanne chip, the “115W” 3070 mobile, or even the IO consume more power. The power used by the display IS more constant if you let it be, but current and next-gen OLED should fix this.

The OLED panels in current high end iPhones, for example, use practically nothing. I can have my phone screen on all day and still end up with a beefy charge left at the end of the day. This is despite the fact it has a 2796x1290 120hz VRR display.

You don't get the concept because you're not following the discussion: folks have observed that Intel was more willing to share performance related figures in the past (either directly or indirectly through IPC estimates, clock increase claims etc).

It's fine if you disagree, it's also fine if you think it matters little in grand scheme of things. I'm not too concerned about it either.


I'm sorry, I can't focus on red bold text.
See above. Though, I do agree somewhat.

MTL P/H/S was never going to move the absolute performance needle much, rather it is going to move the perf/watt needle. A much less exciting needle for most to see move.

However, for Intel to stay competitive, they need to solve their perf/watt issues. It is much harder to do this while also substantially increasing performance. Meteor Lake will perform a bit better, especially at multicore workloads. It will also use quite a bit less power, possibly beating out Zen 4.
 

eek2121

Platinum Member
Aug 2, 2005
2,990
4,136
136
skymont aiming for alder lake ipc and arm X1 core efficiency.. 1w per core ? 🤔💻✍️
I can see the IPC, though if they really are shooting for 1w/core it won’t be performing like Golden Cover or even Sunny Cove.

That is why I chuckle a bit when people say Intel can’t possibly compete. Add 5-10% IPC to the mont cores, a node shrink, and even more mont cores and suddenly the chip is 40-60% faster. 5-10% adds up when applied to 16 (now 18) cores. Shoot, even 1% adds up.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |