Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 230 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
683
565
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,971
  • LNL.png
    881.8 KB · Views: 25,443
Last edited:

Abwx

Lifer
Apr 2, 2011
11,109
3,785
136
Computerbase says it runs sustained at 28W and they also say the cooling can't really handle more than 28W sustained. You are wrong.

Want another source? Here you go



The cooler not handling 28W while the fan is spinning at full tilt..?.

Granted it is possible, then after how much time is the device throttled, and are you sure that it s the perfs at 28W?

Because your Ultrabook review has also displayed some HVinfo screens :


From the first CB run to the last ones the perf gap is such that the first run use about 80% more power than the last ones if i do an evaluation in function of Intel s power/voltage characteristic, and effectively that amount to 50W throttled to 28W.

But you cant be sure that it s 28W for games FI because any recovery of the cooling apparatus will increase the power accordingly up to 50W if necessary, be it with a bursty maneer.
 
Last edited:

mikk

Diamond Member
May 15, 2012
4,155
2,165
136
But you cant be sure that it s 28W for games FI because any recovery of the cooling apparatus will increase the power accordingly up to 50W if necessary, be it with a bursty maneer.

And you are sure it wasn't 28W even when CB clearly says it runs at 28W when using CPU+GPU right? The Zenbook is the most tested MTL laptop and in all of them there is a sustained power of 28W on the performance profile. Here another one in a game:


I don't see how a real game test could make use of a 28 seconds long PL2 given that the menu and loading into the game test already uses quite a bit of power. It's not something like Cinebench or most other CPU tests from idle to load. Once the device enters PL1 there is no sudden spike to 30+ in all the tests. Here another example:



 

Abwx

Lifer
Apr 2, 2011
11,109
3,785
136
And you are sure it wasn't 28W even when CB clearly says it runs at 28W when using CPU+GPU right? The Zenbook is the most tested MTL laptop and in all of them there is a sustained power of 28W on the performance profile. Here another one in a game:

For the Ultrabookreview tests that s possible because the CB scores are not as big as what they were with previous tests here and there, although they published the score for the first run which is certainly not at 28W if we look at the score after a few runs.

The score CB R15 score at sustained power after throttling is effective amount to about 11000 pts in CB R23, anyway we ll get a better picture once Computerbase release the CPU tests.
 
Last edited:

SiliconFly

Golden Member
Mar 10, 2023
1,072
556
96
A recent HY post talks about packaging tech like (cowos, emib, foveros) in recent CPUs. In essence, ARL's foveros is gonna be far superior compared to Zen5's dated infinity fabric. ARL's fate now solely rests on LNC perf.
 

maddie

Diamond Member
Jul 18, 2010
4,783
4,759
136
A recent HY post talks about packaging tech like (cowos, emib, foveros) in recent CPUs. In essence, ARL's foveros is gonna be far superior compared to Zen5's dated infinity fabric. ARL's fate now solely rests on LNC perf.
Foveros & Infinity fabric. I hope you realize the difference. Comparing those two is worse than using apples and oranges, at least they're still fruit.
 

Markfw

Moderator Emeritus, Elite Member
May 16, 2002
25,671
14,676
136
A recent HY post talks about packaging tech like (cowos, emib, foveros) in recent CPUs. In essence, ARL's foveros is gonna be far superior compared to Zen5's dated infinity fabric. ARL's fate now solely rests on LNC perf.
What you neglected to mention is that most of that link is talking about what Zen 6 will use, nothing to do with Intel, except comparing these to Intel.

edit: In fact the title of the video is "

AMD ZEN 6 — Next-gen Chiplets & Packaging​

 
Reactions: Mopetar

SiliconFly

Golden Member
Mar 10, 2023
1,072
556
96
What you neglected to mention is that most of that link is talking about what Zen 6 will use, nothing to do with Intel, except comparing these to Intel.

edit: In fact the title of the video is "

AMD ZEN 6 — Next-gen Chiplets & Packaging​

It's clear. That means Zen5 is still stuck with the dated infinity fabric. Also, he says Zen6 might adopt something similar to emib and not foveros. Thats still behind.
 

SiliconFly

Golden Member
Mar 10, 2023
1,072
556
96
Superiority matters little if the performance isn't there.
It may perform better than we anticipate. One leaked slide without much context says only 5% increase over previous gen, whereas MLID claims about 30% ST increase. It's all smoke and mirrors until we get real data. From what I gather, Intel has ditched all old tech in favor of LNC for future client & server which indicates its enormous potential. There is always a chance it may surpass Zen5 in ST. Only time can tell.
 
Jul 27, 2020
17,174
11,042
106
Also, he says Zen6 might adopt something similar to emib and not foveros. Thats still behind.
AMD's decisions are well thought out. Intel could always donate Foveros to AMD. If it's a good technology, Intel sure as hell doesn't know how to use it to get ahead. Case in point: Crater, uh, Meteor Lake.

Yes, I know you will say Lunar Lake will use Foveros to great effect. Good for Intel. But AMD will still find a way to give them grief.
 

SiliconFly

Golden Member
Mar 10, 2023
1,072
556
96
AMD's decisions are well thought out. Intel could always donate Foveros to AMD. If it's a good technology, Intel sure as hell doesn't know how to use it to get ahead. Case in point: Crater, uh, Meteor Lake.

Yes, I know you will say Lunar Lake will use Foveros to great effect. Good for Intel. But AMD will still find a way to give them grief.
Lets hope they find a way. Cos with fab 9 operational, Intel naturally becomes the de facto leader in packaging tech in the industry for the foreseeable future.
 

SiliconFly

Golden Member
Mar 10, 2023
1,072
556
96
It's smoke alright. From whatever MLID is smoking
IDK. But there is one particular thing that he has that no other tech tubers have. Sources! His understanding of tech may be limited, but some of his info leaks have hit the mark.

In short, I've seen many people saying that he makes up stuff. Maybe. But sometimes he does leak some terrific info well in advance compared to others. For example, he was the first one to say ARL lacks HT. And he was the first to mention RU. Same with bergamo and many more when others didn't even have a clue.

His 30% ST gain claim is a bit bold. Maybe true. Maybe isn't. But compared to all other ARL leaks, his makes more sense.
 

mikk

Diamond Member
May 15, 2012
4,155
2,165
136

H433x0n

Senior member
Mar 15, 2023
933
1,033
96
It may perform better than we anticipate. One leaked slide without much context says only 5% increase over previous gen, whereas MLID claims about 30% ST increase. It's all smoke and mirrors until we get real data. From what I gather, Intel has ditched all old tech in favor of LNC for future client & server which indicates its enormous potential. There is always a chance it may surpass Zen5 in ST. Only time can tell.
That 5% was for Specint, which isn't really indicative of what most users will experience. The numbers for benchmarks that are more representative of client workloads ranged between 10-13% (WebXprt, Speedometer & GeekBench6). These are also the benchmarks that will be used by most reviewers too.

Since that old IgorsLab leak, the fmax has been increased and thus the 1T perf estimates provided by Intel have also improved.
 

maddie

Diamond Member
Jul 18, 2010
4,783
4,759
136
Lets ignore other fruits. It's direct apples to apples comparison. Chiplets.
Foveros is a physical interconnect. Infinity Fabric is a logical one, that can use a multitude of physical interconnects. Your assumption that you can use them in the same sentence and compare is woefully ignorant.

Tell me which physical interconnect will AMD use? Hint, Infinity Fabric is not the answer.
 

coercitiv

Diamond Member
Jan 24, 2014
6,286
12,343
136
In short, I've seen many people saying that he makes up stuff. Maybe. But sometimes he does leak some terrific info well in advance compared to others.
Not maybe, he does make stuff up. That's the problem with having a YT show built around leaks, his audience expects him to deliver on time & every time, so he ALWAYS has something for any upcoming product from Intel, AMD, Nvidia. If some bird chirps in his ear it's all good, if not then he'll make do with the wind.
 

SiliconFly

Golden Member
Mar 10, 2023
1,072
556
96
Foveros is a physical interconnect. Infinity Fabric is a logical one, that can use a multitude of physical interconnects. Your assumption that you can use them in the same sentence and compare is woefully ignorant.

Tell me which physical interconnect will AMD use? Hint, Infinity Fabric is not the answer.
Let me rephrase. Intel uses foveros which has chiplets on top of an interposer connected using the advanced NOC interconnect fabric. This is far ahead of AMD's dated chiplet design, where the chiplets reside on the substrate and are connected using the very dated infinity fabric.

Like I said, Zen5 is not gonna catch up with ARL in packaging tech. ARL's foveros & NOC interconnect are far too advanced. ARL's only weak point may turn out to be LNC and may lose to Zen5 in ST or MT. Or maybe not. Hard to guess at this time.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |