Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 233 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
677
559
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,968
  • LNL.png
    881.8 KB · Views: 25,440
Last edited:

Philste

Member
Oct 13, 2023
70
131
66
Not 3D (except for the X3D variants which are based on a very primitive form of 3D tech thats no where near Intel foveros).
You have no clue what you are talking about, right? X3D uses direct copper to copper bond, which is far more advanced than Intels Foveros with microbumps. Foveros Direct is Intels Counter for that, I think they said it's 2025 at best.
 

Abwx

Lifer
Apr 2, 2011
11,042
3,692
136

H433x0n

Senior member
Mar 15, 2023
917
996
96
10% above 14900k would make it 15-20% higher than Zen 4. that would still be competitive with Zen 5.
Because I was bored I did some digging just to get a good idea of what Zen 5 would have to do to break even. I took existing scores for 13900KS / 14900K in popular 1T benchmarks and then extrapolated ARL-S performance in same benchmarks using the old data from IgorsLab.

1T Performance RPL v Zen 4-
GeekBench5 (source: TheVerge):
2235 / 2099 = +6%
CB23 (Source TPU):
2339 / 2051 = +14%
JetStream (Source TPU):
307825 / 290422 = +6%
Speedometer (Source TPU):
364 / 327 = +11%
WebXprt (Source TPU):
334 / 293 = +14%

Below is what is required to break even with ARL-S (using IgorsLab old projections)
Geekbench 5: +13-16%
Speedometer: +19-22%
WebXprt: +19-21%

Below is what is required to break even with the more recent ARL-S updated perf estimates (increased 1T perf by ~3-4%)
Geekbench 5: +16-20%
Speedometer: +22-26%
WebXprt: +22-26%

Edit: Finding reliable Geekbench data is difficult since it can vary by +/- 10% depending on configuration.
 
Last edited:
Reactions: msj10 and Saylick

SiliconFly

Golden Member
Mar 10, 2023
1,058
541
96
You have no clue what you are talking about, right? X3D uses direct copper to copper bond, which is far more advanced than Intels Foveros with microbumps. Foveros Direct is Intels Counter for that, I think they said it's 2025 at best.
First off, you're ignoring the most important thing. Most of the AMD CPU's including Zen5 aren't X3D, they're just 2.5D tech. Whereas, all upcoming Intel CPUs are 3D.
 
Last edited:
Reactions: Henry swagger

Saylick

Diamond Member
Sep 10, 2012
3,210
6,562
136
First off, you're ignoring the most important thing. Most of the AMD CPU's including Zen5 aren't X3D, they're just 2.5D tech. Whereas, all upcoming Intel CPUs are 3D.
I still fail to see why 3D advanced packaging is going to be the deciding factor like you suggest it is. Some products simply don't need 3D packaging to be viable or successful. Intel tooting from the highest rooftops about IFS and their alleged packaging prowess is because they know that they were caught on the backfoot against TSMC.

Also, I'm not sure how this statement:
Not accurate. Intel is the current market leader in 3D packaging tech with foveros. TSMC has 3D packaging tech, but not many customers. For example, AMD CPUs are 2.5D. Not 3D (except for the X3D variants which are based on a very primitive form of 3D tech thats no where near Intel foveros).
.. jives with this statement:
First off, you're ignoring the most important thing. Most of the AMD CPU's including Zen5 aren't X3D, they're just 2.5D tech. Whereas, all upcoming Intel CPUs are 3D.
How can one claim that Intel is the current leader in 3D packaging when you also acknowledge that the parts that use 3D packaging aren't even out yet?
 

adroc_thurston

Platinum Member
Jul 2, 2023
2,456
3,515
96
they're just 2.5D tech
No they aren't.
The only client 2.5d parts AMD currently ships is N31/32, these are InFO-R.
Whereas, all upcoming Intel CPUs are 3D.
no they're basic ass 2.5D with eMiM. Equivalent to 2020 TSM CoWoS.
Intel shipped real 3d(tm) with Lakefield and PVC, both are trainwreck products.
 

DavidC1

Member
Dec 29, 2023
189
261
96
We are back in times, it is all due to IFS incapable of making monolithic SoC.
The fault would be due to design, not IFS.

Intel wasn't known for top notch designs. Even the much vaunted Core 2 was in mid-2006 and was trumped pretty quickly after introduction of the iPhone in 2009. Their talking point was all about perf/watt blah blah and while it was an improvement it wasn't enough.

Sandy Bridge was impressive comparatively but only competition was against faltering AMD. I wonder what would have happened if the x86 license was opened up and there were many competitors instead? What if just Nvidia was one of them?

Up until 10nm it was process that made up for the design team's deficiency. The failure of process exposed the deep problem within the organization, hence why we see failures as we do now.

@H433x0n Since the Igor's lab leak is a legitimate slide, one wonders why Arrowlake would perform noticeably better. The only other reason is if they purposely put out that slide to misdirect speculation.

They did on Raptorlake by claiming "single digit improvements". I remember a heated debate on that one. Doesn't mean that history will be necessarily repeated as Meteorlake fell short of official claims.
 

H433x0n

Senior member
Mar 15, 2023
917
996
96
@H433x0n Since the Igor's lab leak is a legitimate slide, one wonders why Arrowlake would perform noticeably better. The only other reason is if they purposely put out that slide to misdirect speculation.

They did on Raptorlake by claiming "single digit improvements". I remember a heated debate on that one. Doesn't mean that history will be necessarily repeated as Meteorlake fell short of official claims.
I’m not assuming that ARL-S will perform noticeably better. I’m taking the data at face value. That 2nd estimate is based on more recent estimates from Intel that boosted 1T perf by 3-4% from higher fmax. I personally doubt it performs noticeably better than the data they’re providing their partners.

I put together all that data to make a point that even if Zen 5 lives up to all of the hype and gets a +30% IPC increase with no frequency regression that still would only result in them having a 1T perf lead that’s roughly equivalent to what RPL has over Zen 4 now. As far as I know the narrative online isn’t that Zen 4 is DOA because it’s behind by 10% in some 1T metrics - nobody really cares.
 

jpiniero

Lifer
Oct 1, 2010
14,675
5,300
136
Note: 6P+8E for mobile is on N3. Desktop is a different story.

That is not the bulk of volume, mobile is a far larger market than desktop is and it's not even close.

I have a feeling it will end up being Raptor Lake Refresh Refresh instead of any theoretical 20A Arrow Lake, even though that makes for some oddities given the different socket.
 

Elfear

Diamond Member
May 30, 2004
7,097
644
126
Because I was bored I did some digging just to get a good idea of what Zen 5 would have to do to break even. I took existing scores for 13900KS / 14900K in popular 1T benchmarks and then extrapolated ARL-S performance in same benchmarks using the old data from IgorsLab.

1T Performance RPL v Zen 4-
GeekBench5 (source: TheVerge):
2235 / 2099 = +6%
CB23 (Source TPU):
2339 / 2051 = +14%
JetStream (Source TPU):
307825 / 290422 = +6%
Speedometer (Source TPU):
364 / 327 = +11%
WebXprt (Source TPU):
334 / 293 = +14%

Below is what is required to break even with ARL-S (using IgorsLab old projections)
Geekbench 5: +13-16%
Speedometer: +19-22%
WebXprt: +19-21%

Below is what is required to break even with the more recent ARL-S updated perf estimates (increased 1T perf by ~3-4%)
Geekbench 5: +16-20%
Speedometer: +22-26%
WebXprt: +22-26%

Edit: Finding reliable Geekbench data is difficult since it can vary by +/- 10% depending on configuration.

For what it's worth, the SPEC delta isn't that big so, depending on your use case, ARL-S may have to bring more to the table than you're estimating.

1T Performance RPL v Zen 4
(source Anandtech)

SPECint2017 : +2.8% (on average over 10 sub-tests)
SPECfp2017: +5.6% (on average over 12 sub-tests)
 

SiliconFly

Golden Member
Mar 10, 2023
1,058
541
96
No they aren't.
The only client 2.5d parts AMD currently ships is N31/32, these are InFO-R.

no they're basic ass 2.5D with eMiM. Equivalent to 2020 TSM CoWoS.
Intel shipped real 3d(tm) with Lakefield and PVC, both are trainwreck products.
Nope. Starting MTL (and ARL), it's basic ass 3D stacking with foveros & advanced NOC interconnect.

Zen chiplets are directly mounted on the substrate & connected using infinity fabric... outdated.

Zen5 uses the same old tech like Zen4 and has some serious catching up to do in packaging tech.
 

SiliconFly

Golden Member
Mar 10, 2023
1,058
541
96
I still fail to see why 3D advanced packaging is going to be the deciding factor like you suggest it is. Some products simply don't need 3D packaging to be viable or successful. Intel tooting from the highest rooftops about IFS and their alleged packaging prowess is because they know that they were caught on the backfoot against TSMC.
Chiplets and 3D packaging like foveros are the future. Old tech like monolithic and 2.5D like Zen are just passé. Although, leaks suggest Zen6 will be full 3D.

How can one claim that Intel is the current leader in 3D packaging when you also acknowledge that the parts that use 3D packaging aren't even out yet?
MTL is chiplets, foveros & NOC.
 

gdansk

Platinum Member
Feb 8, 2011
2,207
2,801
136
That's just the LPE cores, but yeah, many (12) cores and a 9W base TDP leads to very low base clock frequencies.
Perhaps it doesn't matter but looking at similar last-generation Alder Lake U at 9W the regular E core also dropped 100MHz base frequency. And the P cores stay at 1100MHz. Really seems like no real efficiency improvement at ultra low power levels (-100 MHz * 8 E cores is effectively equal to the +400MHz * 2 LP-E cores).

Very low power is where I was hoping MTL would be better. But maybe there's a reason that chip is as-of-yet missing.
 

SiliconFly

Golden Member
Mar 10, 2023
1,058
541
96
What packaging tech does MTL have that MI300 doesn't because MI300 has packaging tech that MTL doesn't. . .
Lets refocus. Zen5 is gonna use the same old 2.5D tech with infinity fabric like previous gens. Whereas, ARL is gonna be foveros 3D with advanced NOC interconnect fabric.

Meaning, if LNC delivers on performance and/or efficiency. it can take on Zen5 very easily. Now the fate of ARL squarely rests on LNC and LNC alone.
 

Hitman928

Diamond Member
Apr 15, 2012
5,374
8,221
136
Lets refocus. Zen5 is gonna use the same old 2.5D tech with infinity fabric like previous gens. Whereas, ARL is gonna be foveros 3D with advanced NOC interconnect fabric.

Meaning, if LNC delivers on performance and/or efficiency. it can take on Zen5 very easily. Now the fate of ARL squarely rests on LNC and LNC alone.

Yes, MTL and ARL use more advanced packaging than Zen 4 or Zen 5 desktop (excluding the 3D cache variants which use more advanced packaging than what Intel currently has to offer). That's different than your original statement but if this was the point you were trying to make then, o.k. We'll see how much it actually helps them fairly soon.
 

H433x0n

Senior member
Mar 15, 2023
917
996
96
I don’t get the hype over the packaging. There’s a high probability that the packaging will be the cause for ARL-S having poor gaming performance.

Chiplets, tiles, whatever you want to call it is always a compromise solution in client products. It’s not a feature or impressive tech - it’s there to save money at the expense of a worse product.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |