Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 234 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
677
559
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,968
  • LNL.png
    881.8 KB · Views: 25,440
Last edited:

gdansk

Platinum Member
Feb 8, 2011
2,208
2,805
136
Advanced packaging seems inevitable so being at the cutting edge there is likely synonymous with the cutting edge of performance. And it should provide Intel a more compelling product in the long term (though as you say it doesn't seem too likely with ARL-S).
 

H433x0n

Senior member
Mar 15, 2023
917
996
96
Advanced packaging seems inevitable so being at the cutting edge there is likely synonymous with the cutting edge of performance. And it should provide Intel a more compelling product in the long term (though as you say it doesn't seem too likely with ARL-S).
Eh, a <=200mm2 die doesn’t benefit nor require to be a chiplet. Even when we move to High NA EUV that’s still well within the reticle limit.
 

gdansk

Platinum Member
Feb 8, 2011
2,208
2,805
136
Eh, a <=200mm2 die doesn’t benefit nor require to be a chiplet. Even when we move to High NA EUV that’s still well within the reticle limit.
I reckon we're all heading toward M2 Ultra (huge multi-chip GPU with small CPU on-board) and stacked LLC eventually. And so the combined die size will be much larger.
 
Aug 4, 2023
193
400
96
I don’t get the hype over the packaging. There’s a high probability that the packaging will be the cause for ARL-S having poor gaming performance.

Chiplets, tiles, whatever you want to call it is always a compromise solution in client products. It’s not a feature or impressive tech - it’s there to save money at the expense of a worse product.
SoIC stacked cache is better in some ways to the same amount of cache on a mono die. Or logic stacked upon cache, the wire distances are shorter and the surface area gains on the package floor are really nice. MI300 vs H100 illustrates this nicely.
 

gdansk

Platinum Member
Feb 8, 2011
2,208
2,805
136
no? console SoCs are niche.

That's far away from being mainstream.
Sorry, by "we" I mean people like me who waste money on 4090s and housefire CPUs that can run them.
To which Apple (and MI300A?) presents a compelling vision of the future, a power-saving future with much larger unified memory. And I don't see how you unify big GPU + fast CPU and larger caches without advanced packaging even in the high-end consumer space.

& hence the eventually. Because I think this is something Intel will do too.
 
Last edited:

adroc_thurston

Platinum Member
Jul 2, 2023
2,458
3,518
96
To which Apple (and MI300A?) presents a compelling vision of the future
It's a console SoC with all the limitations (the future of 10 years ago; you're welcome).
MI300A is its very own thing, completely unfeasible in client.
a power-saving future with much larger unified memory.
Gobs of LPDDR is still puny bandwidth versus what GDDR benches (LPPDR currently maxes at 9.6GT/s for the freshest PoP stuff. GDDR7 starts at 28GT/s).
It's not an alternative to client dGP, not at all.
And I don't see how you unify big GPU + fast CPU and larger caches without advanced packaging even in the high-end consumer space.
Larger caches are also unfeasible in client, SRAM scaling is dead-dead.
Apple Max stuff is like 48 megs of SLC, puny stuff relative to what dGPs ship (and their cache b/w yea).
& hence the eventually. Because I think this is something Intel will do too.
They've killed both FCS1 APU and all the GT3 iGP configs.
So no, they're not doing that.
 

gdansk

Platinum Member
Feb 8, 2011
2,208
2,805
136
Larger caches are also unfeasible in client, SRAM scaling is dead-dead.
And that's why the biggest chunk of SRAM will be on another chip. What's your confidentlly wrong solution to stalled SRAM scaling? Just not add more cache? Not happening.

Anyway, the rest of it isn't relevant to products in this thread they're all too near. But Intel will do it or they'll become irrelevant like Burroughs.
 
Reactions: SiliconFly

adroc_thurston

Platinum Member
Jul 2, 2023
2,458
3,518
96
And that's why the biggest chunk of SRAM will be on another chip.
SoIC-X is not suitable for mainstream applications for like until 2029 or so (that's the optimistic view of things to come).
What's your confidentlly wrong solution to stalled SRAM scaling?
SOT-MRAM.
Pure hopium but it's the only hail mary possible.
Just not add more cache?
Yeah.
I mean AMD chopped LLC off across Navi3 parts for a good reason.
But Intel will do it or they'll become irrelevant like Burroughs.
do what.
They canned all GT3 ADM parts and they also canned FCS1 APU.
 

gdansk

Platinum Member
Feb 8, 2011
2,208
2,805
136
Yeah.
I mean AMD chopped LLC off across Navi3 parts for a good reason.
Not in a particularily interesting way but the point is to get it off chip.

do what.
They canned all GT3 ADM parts and they also canned FCS1 APU.
Foveros Direct etc. Someone had asked why one would be interested in advanced packaging for consumer parts. And it's because SRAM scaling is stalled/dead. Adding cache via stacked chips and moving cache off chip has already come to consumer parts. In this line Intel will follow (unless they're waiting on a 'hail mary' - that would be Intel-like planning).
 

adroc_thurston

Platinum Member
Jul 2, 2023
2,458
3,518
96
Not in a particularily interesting way but the point is to get it off chip
As in the capacity is down on bigger parts.
Foveros Direct etc
Everything hybrid bonding with be meme volumes for a while.
Someone had asked why one would be interested in advanced packaging for consumer parts
Consumer part is a huge umbrella and niche DIY gaming sticks are the tiniest part of it.
Adding cache via stacked chips and moving cache off chip has already come to consumer parts.
Novelty DIY SKU with absolute joke volumes is not "has already come to consumer parts".
Until a $999 laptop part ships with hybrid bonding it's a meme.
 

gdansk

Platinum Member
Feb 8, 2011
2,208
2,805
136
Wholly irrelevant product segment.
Also, >we.
I'm here and all I care is laptop stuff.
Anandtech has a strong DIY PC bias for some reason. And even outside of that the halo parts are the most intersting. Isn't that right, Strix Halo's #1 prophet?

But with MTL it's of course a less interesting use of multiple chips than, say, M2 Ultra or 7800X3D. And I can see why someone would look at MTL and say why would consumers care about this? Because Intel's new tile approach seems entirely about cost-saving rather than trying to offer new levels of performance. But that's only Intel's current state and their problem alone.
 
Last edited:

Tigerick

Senior member
Apr 1, 2022
677
559
106
OK, here comes some leaks not from me but credential leaker about ARL & PTL:
  • ARL-H (6P+8E) comes with iGPU (Build by newer process, N4P) 8 Xe1 LPG+ cores clocking at 2.3GHz
  • ARL-HX (8P+16E, H and HX's tCPU are different dies) comes with 4 Xe cores
  • SoC has been updated to support WiFi7, still on N6
  • PTL-H's tCPU integrates with 4P+8E+4LPe without HT, SoC and MC
  • Here comes interesting part, PTL's tGPU comes with 12 Xe3 LPG core clocking at 2.5GHz. Yeah it is GT3 with 7.7 TF, slightly higher than Strix Point. And they are built on Intel 3+ process. Finally, IFS build tGPU.
  • ADM so far is dead.
 
Last edited:

Tigerick

Senior member
Apr 1, 2022
677
559
106
SoIC-X is not suitable for mainstream applications for like until 2029 or so (that's the optimistic view of things to come).

SOT-MRAM.
Pure hopium but it's the only hail mary possible.

Yeah.
I mean AMD chopped LLC off across Navi3 parts for a good reason.

do what.
They canned all GT3 ADM parts and they also canned FCS1 APU.
Look like GT3 is back with PTL. ADM is dead yea
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |