Discussion Leading Edge Foundry Node advances (TSMC, Samsung Foundry, Intel)

Page 110 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

DisEnchantment

Golden Member
Mar 3, 2017
1,625
5,903
136
TSMC's N7 EUV is now in its second year of production and N5 is contributing to revenue for TSMC this quarter. N3 is scheduled for 2022 and I believe they have a good chance to reach that target.


N7 performance is more or less understood.


This year and next year TSMC is mainly increasing capacity to meet demands.

For Samsung the nodes are basically the same from 7LPP to 4 LPE, they just add incremental scaling boosters while the bulk of the tech is the same.

Samsung is already shipping 7LPP and will ship 6LPP in H2. Hopefully they fix any issues if at all.
They have two more intermediate nodes in between before going to 3GAE, most likely 5LPE will ship next year but for 4LPE it will probably be back to back with 3GAA since 3GAA is a parallel development with 7LPP enhancements.




Samsung's 3GAA will go for HVM in 2022 most likely, similar timeframe to TSMC's N3.
There are major differences in how the transistor will be fabricated due to the GAA but density for sure Samsung will be behind N3.
But there might be advantages for Samsung with regards to power and performance, so it may be better suited for some applications.
But for now we don't know how much of this is true and we can only rely on the marketing material.

This year there should be a lot more available wafers due to lack of demand from Smartphone vendors and increased capacity from TSMC and Samsung.
Lots of SoCs which dont need to be top end will be fabbed with N7 or 7LPP/6LPP instead of N5, so there will be lots of wafers around.

Most of the current 7nm designs are far from the advertized density from TSMC and Samsung. There is still potential for density increase compared to currently shipping products.
N5 is going to be the leading foundry node for the next couple of years.

For a lot of fabless companies out there, the processes and capacity available are quite good.
 

FlameTail

Platinum Member
Dec 15, 2021
2,430
1,301
106
Before Pat Gelsinger took over Intel as CEO, the company spent over a decade in a slow descent due to a focus on financial engineering. The decline was set in motion by the then CEO, Paul Otellini, who made the shortsighted decision to turn down the iPhone contract due to apprehension over margins. The main concern was that Apple's customization demands would be costly and would be amortized over low volume projections that turned out to be woefully underestimated by Intel. This led to Intel missing out on the last decade’s largest area of growth: mobile.
The Rise of the Mobile sector is truly amazing.

In an alternate reality where Intel had chosen to enter the mobile space and be a player;

(1) The ARM architecture and it's software ecosystem wouldn't have the momentum it does today in our world

(2) Qualcomm and Mediatek may be small players or not even in the smartphone SoC business.

(3) There will be no Apple M series or Snapdragon X series to wipe the floor with Intel's CPU inefficiency.

(4) TSMC wouldn't be the colossus it is today (as most of TSMC's growth in the last decade was funded by smartphone SoC makers, primarily Apple).

Intel’s goal is to be the 2nd largest foundry by 2030. While not as epic of a goal as taking the #1 spot, it probably is a realistic goal given where they started - Samsung, currently the #2 foundry has been floundering after trying to race to be the first with gate all around transistors at 3nm.
Samsung bet too much on their 3nm/GAAFET.


Unlike TSMC, Intel believes that cost-per-transistor will continue to reduce?
 
Last edited:

maddie

Diamond Member
Jul 18, 2010
4,773
4,745
136
The Rise of the Mobile sector is truly amazing.

In an alternate reality where Intel had chosen to enter the mobile space and be a player;

(1) The ARM architecture and it's software ecosystem wouldn't have the momentum it does today in our world

(2) Qualcomm and Mediatek may be small players or not even in the smartphone SoC business.

(3) There will be no Apple M series or Snapdragon X series to wipe the floor with Intel's CPU inefficiency.

(4) TSMC wouldn't be the colossus it is today (as most of TSMC's growth in the last decade was funded by smartphone SoC makers, primarily Apple).


Samsung bet too much on their 3nm/GAAFET.

View attachment 96339
Unlike TSMC, Intel believes that cost-per-transistor will continue to reduce?
It could be true for Intel. Maybe their costs were way above TSMC and they have room to drop more. Throughput & yield of Fabs contribute a lot to transistor costs.
 

Tigerick

Senior member
Apr 1, 2022
679
559
106
He said that for a reason, and it has nothing to do with what you stated.

I meant what I said, what Pat's said is indicated IF will be competitive compared to SF not TSMC. I remembered you said you believe 18A is better than N2 process. Now that people are comparing 14A to N2/N2P, and don't you forget upcoming Intel's ARL and LNL are built by TSMC's N3B. Do you think if Intel Foundry is capable of making the CPU, why asked TSMC to make it? That's mean next year Intel going to spend $10 billions on TSMC is mostly correctly...

There is report about upcoming Nova Lake is going to be built by TSMC's N2, and I believe it cause IF is not capable of producing such CPU at PPA. That's mean IF's 18/14A is not up to N2/N2P standard.

Yes, IF will get some business from others like NV. But not on the high end and therefore not improving much on revenue...I foresee IF going to operate in loss for couple of years. The same can be said for AMD, that's why AMD asked SF to make Sonama Valley APU, a small APU to replace Mendocino but not high end CPU/APU. Just so you know, upcoming Zen 6c with 32 CPU core counts will be build by TSMC's N2 process. Unless AMD is asking IF to make Zen6c, yeah then I will believe in IF's 18/14A process. Why not you bet against me on this one?

I strongly believe in TSMC cause of working culture (not salary per se, pls) and I know about TSMC's operation night Hawk. CTO of TSMC led engineers to find a path to improve yield and clock speed. It is considered secret sauce of TSMC. In fact, in order to remain secret, TSMC has not filed patents for them and keep it in the vault. And that's reason why SF and IF are failed to overtake TSMC even they are using more advanced process like PD and GAA.

Recently, TechInsights has published slide comparing different process from three foundry as shown below:



You can compare PPA between SF and TSMC, we can't get power figure of IF but at least we know how low the density compared to TSMC. You can do your own math...

Like I said, Intel has been BS from the past until now, and if you are believing in what Pat said and think salary is more important than technology, yeah you are as bad as Intel's management. I will be updating IF's financial report in my thread, let's see how IF performs in the future...

PS: Based on timings, IF's 14A should not be compared to TSMC's N2/N2P. However, based on PPA, yeah IF is playing catch up once again....
 
Last edited:

Aapje

Golden Member
Mar 21, 2022
1,440
1,967
106
I get their point of view that TSMC’s success is critical to the safety of their homeland. That’s true to a point but I believe it’s a dual edged sword. I can make a case that it’s better not just for the US but for Taiwan and the rest of the world that Intel is competitive with leading edge nodes. You don’t want US feeling insecure over a core national interest. It would lead to a power struggle over TSMC and a potential clash in US & Taiwan relations. I could see a scenario where arms sales are contingent on fab allocation, etc.

Not just that, but this is another reason for China to invade, blockade or otherwise hurt Taiwan, if they want to get at the US.

There is a long tradition of superpowers having proxy wars in other countries and the country where the war happens is then always the casualty.
 

itsmydamnation

Platinum Member
Feb 6, 2011
2,809
3,279
136
Not just that, but this is another reason for China to invade, blockade or otherwise hurt Taiwan, if they want to get at the US.

There is a long tradition of superpowers having proxy wars in other countries and the country where the war happens is then always the casualty.
its the other way around ,china tries a naval blockade and shipping gets shutdown in SEA and china is heavily dependant in Sea based imports.
 

SiliconFly

Golden Member
Mar 10, 2023
1,069
551
96
Where is TSMC N2?Which product?2025?
N2 original plan was 2026 (with BSPD). Then it was pulled-in to 2025 (without BSPD) in an effort to stay ahead. But changing the timeline has drastic consequences. A fab customer expects to complete their design/validation/production around previously announced dates (2026 in this case). A cpu/gpu design cannot be completed one year in advance just cos the fab decided to start operating one year in advance (that too not feature complete). Designs take many years to complete.

So, when N2 (without BSPD) starts operations next year, it may not have enough customers and/or designs to start with. The probability of their primary customer Apple using N2 itself is not that high I think.

I don't think N2 in 2025 is gonna cut it. But N2+BSPD in 2026 will surely be excellent. 18A does have a narrow window in which it can actually gain process leadership more easily than what some think.
 
Last edited:

Aapje

Golden Member
Mar 21, 2022
1,440
1,967
106
I don't think N2 in 2025 is gonna cut it. But N2+BSPD in 2026 will surely be excellent. 18A does have a narrow window in which it can actually gain process leadership more easily than what some think.

So much of this is marketing BS. TSMC getting out a mediocre N2 one year earlier with no customers just to get a marketing win. And if 18A wins just in a narrow window, so Pat can declare victory, then Intel won't actually have won if in reality TSMC and Intel just keep trading blows from then on (which is optimal for us consumers).

My personal expectation is that we will start seeing increased gaps between when the foundries declare wins and when us consumers actually get the products, because of the increased costs and because of the increased difficulty, so a lot of processes still need a lot of improvements after they are officially released, before large volume production actually makes sense.
 

lakedude

Platinum Member
Mar 14, 2009
2,582
337
126
"TSMC shrugs off Taiwan’s biggest earthquake in 25 years, showing its massive chip foundry mega-complexes are nearly quake-proof"

Anybody got a link that isn't behind a pay wall?
 

FlameTail

Platinum Member
Dec 15, 2021
2,430
1,301
106
It's almost like 4N and 4NP were named to intentionally confuse people between it and N4/N4P.
Thankfully Nvidia would never do something like that, it's all just silly people mixing stuff up.
I wonder what happens when it gets to angstrom era.

It is going to become very messy....
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |