Discussion Leading Edge Foundry Node advances (TSMC, Samsung Foundry, Intel)

Page 109 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

DisEnchantment

Golden Member
Mar 3, 2017
1,622
5,892
136
TSMC's N7 EUV is now in its second year of production and N5 is contributing to revenue for TSMC this quarter. N3 is scheduled for 2022 and I believe they have a good chance to reach that target.


N7 performance is more or less understood.


This year and next year TSMC is mainly increasing capacity to meet demands.

For Samsung the nodes are basically the same from 7LPP to 4 LPE, they just add incremental scaling boosters while the bulk of the tech is the same.

Samsung is already shipping 7LPP and will ship 6LPP in H2. Hopefully they fix any issues if at all.
They have two more intermediate nodes in between before going to 3GAE, most likely 5LPE will ship next year but for 4LPE it will probably be back to back with 3GAA since 3GAA is a parallel development with 7LPP enhancements.




Samsung's 3GAA will go for HVM in 2022 most likely, similar timeframe to TSMC's N3.
There are major differences in how the transistor will be fabricated due to the GAA but density for sure Samsung will be behind N3.
But there might be advantages for Samsung with regards to power and performance, so it may be better suited for some applications.
But for now we don't know how much of this is true and we can only rely on the marketing material.

This year there should be a lot more available wafers due to lack of demand from Smartphone vendors and increased capacity from TSMC and Samsung.
Lots of SoCs which dont need to be top end will be fabbed with N7 or 7LPP/6LPP instead of N5, so there will be lots of wafers around.

Most of the current 7nm designs are far from the advertized density from TSMC and Samsung. There is still potential for density increase compared to currently shipping products.
N5 is going to be the leading foundry node for the next couple of years.

For a lot of fabless companies out there, the processes and capacity available are quite good.
 

SiliconFly

Golden Member
Mar 10, 2023
1,058
541
96
Some quotes from the first one, particularly to @SiliconFly to whom all that matters is to get "a node" out that beats TSMC's best in absolute performance / watt at some point (for HPC chips only). Manufacturability (chiplet size) Volume, Cost are all irellevant.
Well, I'm not aware of the actual costs involved, but Intel during the event mentioned that 18A will have the best "PPAC". A new word they coined which says Performance, Power, Area & Cost. 18A is expected to be cost competitive too.

The costs that went into 4/3/20A are very different and I honestly have no idea. I think these three nodes were primarily built to advance process leadership rather than being full/proper nodes themselves. 3 may hit reasonable volume in the future (probably at decent cost). But the other two 4/20A may get repurposed sooner than we think.
 

SiliconFly

Golden Member
Mar 10, 2023
1,058
541
96
Who cares about their foundry losses. That's just bookkeeping. This only needs to start balancing out once they start to really make stuff for external customers.
Not much into financials, but I think it's the foundry costs thats crushing Intel as of now. Probably a bigger burden than they actually care to admit. CHIPS ACT funding should provide some relief.
 

SiliconFly

Golden Member
Mar 10, 2023
1,058
541
96
..on par with products made at TSMC in 2026.
On par with TSMC is not an option as of now. Intel themselves said they aspire to be 2nd place to TSMC that too only in 2030!!! Fabs are very difficult. And if they don't execute well, they may not even reach 2nd place in 2030 even!

Note: 2nd place is about volume, ecosystem, partners, profits, etc. Not process leadership.
 

John Carmack

Member
Sep 10, 2016
155
247
116
I mean, by 18A they’re at parity or ahead. How is that not competitive?

Their issue isn’t necessarily the silicon, it’s the costs and volume.

Gelsinger during analysts Q&A from July 2022:

Intel 4, MTL, looking good, broadly sampled to customers. Some have said it's as good as 3nm from others.

Here's something for people who are arguing about Intel Foundry's competitiveness.

A chart from Intel themselves', which envisions how they compare to the competition with each node;


-- very behind
- behind
= equal
+= equal or better
+ better
++ very better

Now apparently they say things in April 2024 are different!

So says Intel, the paragon of truth telling, of course we should accept their predictions.

The proof should be in the pudding. Nobody should believe the fluff pieces coming from the CEO and marketing until we have pudding in hand.
 
Reactions: scannall

Aapje

Golden Member
Mar 21, 2022
1,422
1,932
106
TSMC messed up their first iteration of 3nm with their N3B. Now they're moving en masse to N3E which will hit volume only late next year. They messed up cos N3B is the 1st iteration and Fabs are difficult.

And their N2 was originally a 2026 node with both GAAFETs & BSPD. Now they've pulled-in N2 to 2025 without BSPD (cos they couldn't get BSPD working on time). And I don't think we should assume their 1st iteration of N2 (with 1st gen GAAFETs & no BSPD) is going to be glorious. Fabs are difficult and 1st iteration is even more difficult.

On the other hand, 18A will have second gen GAAFETs & BSPD when it goes into production late next year giving them a clear upper hand.

In short, Intel Process Leadership in 2025 is now pretty much inevitable.

This assumes that Intel won't mess up, but Intel messing up is...precedented.
 
Reactions: Thibsie

SiliconFly

Golden Member
Mar 10, 2023
1,058
541
96
This assumes that Intel won't mess up, but Intel messing up is...precedented.
Totally agree. But I think 18A is going to be an excellent node cos I strongly believe the sole existence of 20A is to mitigate the risks associated with new cutting edge tech like GAAFETs & BSPD. So, when 18A actually starts production there won't be any serious risks involved. That I believe is going to give Intel the clear edge over competition. If things go well, process leadership is actually within their grasp in late 2025.
 

Doug S

Platinum Member
Feb 8, 2020
2,304
3,611
136
TSMC messed up their first iteration of 3nm with their N3B. Now they're moving en masse to N3E which will hit volume only late next year. They messed up cos N3B is the 1st iteration and Fabs are difficult.

What kind of weird definition of "volume" you are using? All of Apple's next iPhone CPUs plus M4 are going to be made from N3E - shipping in the tens of millions per month starting in September. That alone is more volume than Intel will have with 18A.
 
Reactions: SpudLobby

SiliconFly

Golden Member
Mar 10, 2023
1,058
541
96
What kind of weird definition of "volume" you are using? All of Apple's next iPhone CPUs plus M4 are going to be made from N3E - shipping in the tens of millions per month starting in September. That alone is more volume than Intel will have with 18A.
True. If things have gone well, N3B would have been an important node with lots of designs and volume instead of N3E. That was the point I was trying to make (1st iteration of a node is very difficult).
 

maddie

Diamond Member
Jul 18, 2010
4,769
4,738
136
True. If things have gone well, N3B would have been an important node with lots of designs and volume instead of N3E. That was the point I was trying to make (1st iteration of a node is very difficult).
You wrote "Now they're moving en masse to N3E which will hit volume only late next year".

Are you time travelling and writing this from 1-2 yrs ago?
 

Tuna-Fish

Golden Member
Mar 4, 2011
1,363
1,581
136

Note that the frequency of a single transistor* is not the same thing as the frequency of a chip using those transistors. The silicon transistors used to build modern chips are already roughly in the ~100GHz speed class if you measure just the time it takes for a single transistor to switch. But to build a chip that does anything, you cannot just have a single transistor, you have to have a long chains or trees of transistors, the outputs of each feeding into the inputs of the next ones, each switching in sequence, so that they implement logic circuits. The frequency of a chip is the inverse of the time needed for the slowest single circuit in it to complete switching.


* actually this is sort of not a correct thing, but everyone uses it anyway. When someone says "100GHz transistor", just imagine they said "a transistor that switches in 10ps if the gate is fed directly by another, similar transistor".
 

eek2121

Platinum Member
Aug 2, 2005
2,932
4,027
136
On another note, are we not going to talk about the custom 4NP node that the newly announced Nvidia Blackwell GPU is made on?

In particular, certain tech circles have lost their wits over the fact that 4NP apparently seems to bring a 30% density increase over 4N. What's you guys take on this?

One interesting news is Intel said 30% of their Chips use TSMC and they plan on getting it down to 20%. No timelines mentioned. I thought in near term that % will go up due to ARL/LNL.
N4P is NVIDIA terminology. Ignore it. There is nothing custom about it, and their claims are unproven/unsubstantiated. Somewhat related comment at the end of this post.
Some quotes from the first one, particularly to @SiliconFly to whom all that matters is to get "a node" out that beats TSMC's best in absolute performance / watt at some point (for HPC chips only). Manufacturability (chiplet size) Volume, Cost are all irellevant.

1. Intel only expects 14A to actually be competitive for mobile designs. 18A is HPC only (confirmed by the slide):


2. Regarding volume and manufacturability:


How bad is 20 000 wafers a year?


About profitability it's best to read the entire part, starting from "Cashflow Engine" to" Capital Requirements".



Unfortunately the N2 and N2P modelling is behind paywall.

I 'm rooting for Intel to succeed with their Foundry efforts. Having a competitor to TSMC (particularily one that has fabs in the west) is a necessity and in the end it's the customers that win. But framing Intel as an unstoppable win-machine that can do no wrong - all they need to do is to produce "something in some volume" with their 18A process and it's "game over" for TSMC, is "perhaps a tad too simplistic"
I don't think anyone thinks Intel is an 'unstoppable win machine', but they aren't going to just disappear, they are investing billions in IFS, after all, and can because despite losing money during this period, they are big enough they CAN lose that money.
Yeah, we all hope for IFS success, but I can't stand Pat's pep-talk about leadership because he knows IFS is not capable of competing against TSMC in PPA. And people need to realize IFS's goal:

View attachment 96294

Based on revenue figures of IFS in 2023 ($18.9 billions), IFS should overtake SF already which has earned $16.77 billions in 2023. The reason behind is Intel going to order N3B wafers from TSMC this year. There is report about Intel going to spend $4 billions this year and $10 billions next year for N3B wafers. That's mean this year IFS's revenue should drop sequentially...

And Pat know it, that's why he set No.2 foundry in 2030 not earlier...
He said that for a reason, and it has nothing to do with what you stated.

If product superiority were all that mattered, AMD would own the entire market from mobile to cloud, or possibly, Apple (their OS is holding them back primarily, though software compatibility with ARM also hurts). However we all know that isn't how this dynamic works. A superior product does not help you win, and I think many here don't understand that. Even with perfect execution it is incredibly hard to catch up, let alone get ahead, of an established competitor. AMD is still not first place in most metrics, despite clearly having a superior product in ALL metrics, for example.

You may think from my statements that I'm somehow biased toward Intel, but that is not the case. My gut is pretty good at reading things and my gut tells me that they will have a lot more success here than most people think. I do think their CEO is a bit too optimistic, but I also can guarantee that TSMC is underestimating them. Intel is close to closing deals with near all of the major tech companies. TSMC production is temporarily halted in Taiwan (where many of their chips are made) pending inspections after a major quake, has not significantly diversified their business away from an area that will be an active warzone within the next 10 years, and continues to downplay all their competitors without investment into their weaknesses. They didn't even want to build a fab here in the US because we have labor laws, that while primitive, protect our workers more than those in Taiwan.

The CEO himself criticized western engineers for making a 6 figure salary. From any standpoint, that alone should make you be hesitant to listen to him, to say nothing of the fact he is most certainly uncautious about allowing a competitor to possibly catch up to him.

The stats behind Intel's recent nodes are actually solid. How they execute going forward is going to be the only thing that matters. We haven't even seen the best products out of Intel 4/3/20a/18a because most of Intel's chips are optimized for frequency rather than power/area.

If I were a betting man, I'd bet on even AMD using an Intel node at some point. I'm not, however, so we'll wait that one out.

EDIT: the salary thing should REALLY give more people pause. A CEO's job is to make good strategic (high level) decisions for the company they are running. When he allowed that remark to end up in the book, it became clear that he knows nothing of the U.S. or other countries. He has also doubled down by saying we lack talent, despite some of the best talent in the world originating here. He makes it clear that he cares about profit more than advancing tech, so if you are looking for tech leadership alone, he is most certainly going to let you down.

All it will take is someone like Lisa Su of AMD to eat his lunch. Remember that. (EDIT to clarify I wasn't saying Lisa Su was born in the US or anything, but she is a technical leader who is absolutely slapping it when it comes to execution rather than someone who is simply an engineer or MBA.)
 
Last edited:

H433x0n

Senior member
Mar 15, 2023
917
995
96
…They didn't even want to build a fab here in the US because we have labor laws, that while primitive, protect our workers more than those in Taiwan…

…The CEO himself criticized western engineers for making a 6 figure salary…

…He has also doubled down by saying we lack talent, despite some of the best talent in the world originating here…

This is one of my pet peeves with TSMC. There’s a certain arrogance with their leadership that irks me. There’s this attitude that Taiwan is the only country capable of producing leading edge silicon. I think this is ridiculous for a multitude of reasons.

I get their point of view that TSMC’s success is critical to the safety of their homeland. That’s true to a point but I believe it’s a dual edged sword. I can make a case that it’s better not just for the US but for Taiwan and the rest of the world that Intel is competitive with leading edge nodes. You don’t want US feeling insecure over a core national interest. It would lead to a power struggle over TSMC and a potential clash in US & Taiwan relations. I could see a scenario where arms sales are contingent on fab allocation, etc.
 

maddie

Diamond Member
Jul 18, 2010
4,769
4,738
136
No, I’m saying that the N3 node that will see major adoption is just now being put into volume.
Then why did you jump in and partially support the fantastical claim that I was commenting on, which was, "Now they're moving en masse to N3E which will hit volume only late next year". Nowhere did I claim production is not now ramping. I'm confused.
 

H433x0n

Senior member
Mar 15, 2023
917
995
96
Then why did you jump in and partially support the fantastical claim that I was commenting on, which was, "Now they're moving en masse to N3E which will hit volume only late next year". Nowhere did I claim production is not now ramping. I'm confused.
I didn’t read it carefully. I thought the point being made was that the N3 ramp was messy and didn’t really get traction until now which is 18 months after TSMC made the announcement that N3 is ready.
 

FlameTail

Platinum Member
Dec 15, 2021
2,356
1,276
106
Well, I'm not aware of the actual costs involved, but Intel during the event mentioned that 18A will have the best "PPAC". A new word they coined which says Performance, Power, Area & Cost. 18A is expected to be cost competitive too.
They coined the word "PPAC"?

AHAHAHAHAH.

Are you sure Intel was the first to use it?
 

FlameTail

Platinum Member
Dec 15, 2021
2,356
1,276
106
What kind of weird definition of "volume" you are using? All of Apple's next iPhone CPUs plus M4 are going to be made from N3E - shipping in the tens of millions per month starting in September. That alone is more volume than Intel will have with 18A.
Awe-inspiring.

The sheer volume of Apple.
 

FlameTail

Platinum Member
Dec 15, 2021
2,356
1,276
106
That’s actually true. There hasn’t been any N3E products yet and I don’t think N3E volume will start until this quarter.
There will be metric ton on N3E products by the end of the year.

Apple A18 Pro, Snapdragoj 8G4, Dimensity 9400, possibly Strix Halo, possibly midrange SoCs from Qualcomm/Mediatek, possible Apple M4 series.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |