Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 214 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
683
565
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,971
  • LNL.png
    881.8 KB · Views: 25,443
Last edited:

Geddagod

Golden Member
Dec 28, 2021
1,165
1,049
106
Yeah on N3. That's the problem.
N3 is not an upgrade over Intel 4 if Intel doesn't use fin depopulation to their advantage (I doubt they will). SRAM would prob get a decent shrink from Intel 4 to N3, but I think LNC core + L3 is gonna end up with a higher SRAM count than RWC core + L3, so there's that.
Z5 quad is considerably higher performance.
👀
At lest Skymont is decent so there's that.
promote atom team, scrap P core team lol
No it's not lol.
It is. Even if you don't believe adroc doesn't know them, someone at Intel does, and prob some OEMs under NDAs too since Intel will likely send out estimates on what to expect. Plus, these chips are sampling out to OEMs rn too.
 

mikk

Diamond Member
May 15, 2012
4,155
2,165
136
*sigh* here we go again.

The package Intel showed off? The compute did was apparently made on Intel 18a (they also showed off a wafer full of them). Intel’s last public slide mentioning lunar lake also showed 18A.

I have only found 1 single original source for the N3B rumors, and he cites “unnamed sources” which is code for “I might be making this up.”

Intel would look pretty foolish talking about process leadership and coming up with this elaborate plan, executing on it for years, and then turning around and saying “just kidding guys, IFS sucks, we are using TSMC”.

You would sooner convince me that AMD is using IFS.

You are false. Intel confirmed more than once that Panther Lake will be the first consumer chip on 18A. There is zero about 18A on Lunar Lake and zero about any Intel process because it doesn't use any. It's the only upcoming chip where Intel never mentioned a process node unlike other upcoming chips in the next 1-2 years, logical thinking helps.
 

SiliconFly

Golden Member
Mar 10, 2023
1,072
556
96
It is. Even if you don't believe adroc doesn't know them, someone at Intel does, and prob some OEMs under NDAs too since Intel will likely send out estimates on what to expect. Plus, these chips are sampling out to OEMs rn too.
Thats the interesting part. We'll know once we start to get benchmark leaks.

Intel doesn't like fin depopulation. Undense, large cores with UHP and HP logic cells are their mojo. Last time they tried to buck that trend, it exploded in their faces.
Intel has already moved from HP & UHP to HD cells for RWC. Future designs (LNC and beyond) will exclusively use HD on both Intel & TSMC for client going forward. Gone are the days of U/HP.
 

adroc_thurston

Platinum Member
Jul 2, 2023
2,833
4,165
96
N3 is not an upgrade over Intel 4 if Intel doesn't use fin depopulation to their advantage (I doubt they will).
Yeah but cluster area is all that matters in the end.
yea
promote atom team, scrap P core team lol
You know well enough that's not happening in highly political environments like Intel.
Adroc, what's your take on the Twitter rumors about Skymont aiming for Golden Cove performance or IPC.
Less but still good.
Solid AF area so should be a boon for Clearwater Forest.
Thats the interesting part. We'll know once we start to get benchmark leaks.
It's not competitive thus not interesting at all.
 
Reactions: Saylick

SiliconFly

Golden Member
Mar 10, 2023
1,072
556
96
Actually it's a bit strange why LNL is being given so much importance. It's a niche product with limited volume. Whether it's on tsmc or global foundries or samsung shouldn't be much of a concern in business sense considering it's more of a tech demo kinda product.
 

dullard

Elite Member
May 21, 2001
25,137
3,540
126
It's ok to like it. But in reality, it's just a niche product.
To me, it all comes down to software. Will some killer software come out that really enhances lives with the NPU? If so, then Lunar Lake becomes interesting. If not, then a product with 3x faster NPU really doesn't have a home.

It will be possibly good in handheld gaming, but yes that is niche. Powerful GPU paired with relatively weak CPU. Good for Nintendo-like games I guess.
 
Reactions: Tlh97 and Elfear

Thunder 57

Platinum Member
Aug 19, 2007
2,753
3,977
136
U can say crap without getting the ban hammer? Today I learned

M3 is ~150 ye.
LNL cache structure:
LNC+ with increased L1 compared to LNC, 3MB of private L2 (split into 0.5MB of fast L2 and 2.5MB of slower L2), ? on L3, SLC

Looks at SPR

Rumored to be slightly better LNC. Think RWC>RPC. Same node, ARL should have N3 variants, as would LNL. I wonder if LNL might end up being on N3E vs ARL prob being on N3B, or if LNL development started too early to potentially make that switch.

Alchemist+. Doubled the L2, XMX, not bad.

You can say plenty of minor things without issue. Just stay away from "Seven Dirty Words" and you should be golden. Hell, I've seen people get away with some of those at times. Of course I am not a moderator so take this as more of a suggestion based on my observations.
 
Reactions: Tlh97 and Geddagod

Geddagod

Golden Member
Dec 28, 2021
1,165
1,049
106
Intel has already moved from HP & UHP to HD cells for RWC
It's HP for Intel 4 RWC lol
Future designs (LNC and beyond) will exclusively use HD on both Intel & TSMC for client going forward. Gone are the days of U/HP.
Would be super funny is the reason LNC is rumored to clock badly is bcuz they tried using HD cells for the first time since maybe PLMC? or the first time ever, and then they couldn't get clocks up.
Actually it's a bit strange why LNL is being given so much importance. It's a niche product with limited volume. Whether it's on tsmc or global foundries or samsung shouldn't be much of a concern in business sense considering it's more of a tech demo kinda product.
They shouldn't make it limited volume. Get that Apple money, secure that bag lol
It's ok to like it. But in reality, it's just a niche product.
LNL is important
 

jpiniero

Lifer
Oct 1, 2010
14,743
5,374
136
Actually it's a bit strange why LNL is being given so much importance. It's a niche product with limited volume. Whether it's on tsmc or global foundries or samsung shouldn't be much of a concern in business sense considering it's more of a tech demo kinda product.

3x NPU = AI = Stock go up

I doubt even Microsoft believes Client AI will increase sales. But it gets Wall Street in a tizzy, so here we are.
 

Geddagod

Golden Member
Dec 28, 2021
1,165
1,049
106
Yeah, it was "future lake client" on their public roadmap and in my head I was thinking LNL, but you are right, it is PTL that should be coming end of 2025 in low volume.
I think PTL is gonna be both an ARL and LNL successor if they change the tile layout for PTL- aka eliminate the SOC tile entirely, combine it with the CPU tile, and maybe still have the iGPU tile separately. It was mentioned in a rumor months or even a year ago IIRC. Don't quote me on this tho lol
3x NPU = AI = Stock go up
dont even think its that, ARL might have just as good or better AI performance with the NPU? Idk.
 

H433x0n

Senior member
Mar 15, 2023
933
1,032
96
It's HP for Intel 4 RWC lol
That's a glass half empty way of looking at things

They moved from UHP to HP cells with 90% of MTL being 6VT HP cells, the only UHP on the tile is the 2x RWC cores that are supposed to boost to fmax.

Would be super funny is the reason LNC is rumored to clock badly is bcuz they tried using HD cells for the first time since maybe PLMC? or the first time ever, and then they couldn't get clocks up.
It's probably a mix of both. These uber wide cores just choke past a certain frequency, doesn't matter what type of cell is being used. You would need an obscene amount of L3$ to maintain peak IPC at high fmax. It's probably why LNC is rumored to have 3MB of L3$ and even that won't be enough. If I had to guess, we'll see the product after ARL run LNC but with 4MB of L3$.
 

dullard

Elite Member
May 21, 2001
25,137
3,540
126

DavidC1

Senior member
Dec 29, 2023
251
392
96
I think it's 5? years late. Development started 2015 IIRC, if they expected development to take 3 years, that would be released in 2018, and SPR launched 2023.

Idk what you mean by this, I'm sorry
I meant we should have been 2 generations post-Sapphire Rapids by now, so whatever little advances SPR got in the 3 or 5 years is moot.

With regards to your Intel doing big cores only: They are doing pretty well with their E cores aren't they?

Lion Cove being ridiculously large makes perfect sense. It's probably in upwards of 50% larger. 8-wide decode with 700+ ROB along with other necessary expansions will do that.

Less but still good.
Solid AF area so should be a boon for Clearwater Forest.
Alder/Raptor/MTL E cores are probably larger than expected because they are on the same die as the P cores, so they can't be optimized so well.

In FP yes. In Integer it'll likely beat Golden Cove and by a decent amount.
It's ok to like it. But in reality, it's just a niche product.
If Lunarlake is competitive in battery life it'll be the first time EVER their P cores are in the ballpark range for battery life with ARM, so yes it'll be significant.

Not sure if that'll translate into huge sales. But an x86 laptop with excellent battery life will surely be appreciated.
 
Last edited:

DavidC1

Senior member
Dec 29, 2023
251
392
96
Actually is pretty good in most reviews but it's not a tablet chip.

View attachment 91639
5.1W on browsing and 4.6W video playback is not that impressive. So MTL with it's fancy tech manages to catch up to 2020 Icelake.

Here's Icelake: https://www.notebookcheck.net/Dell-XPS-13-9300-Laptop-Review-Smaller-but-slower-CPU.458539.0.html

4.4W browsing, 3.7W video playback. So roughly on par assuming OLED uses more power.

Wake me up when they can get those figures on a 50WHr battery. Actually ARM competitors can do 15Hr web browsing on a 50WHr battery. They would be getting 20 hour life on 68WHr.

Before Intel regressed on Tiger, and further on Alder there was a 30-50% gap compared to ARM parts.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |