Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 286 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
677
559
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,969
  • LNL.png
    881.8 KB · Views: 25,441
Last edited:

SiliconFly

Golden Member
Mar 10, 2023
1,060
547
96
first instead of following Intel propaganda
Looks like you're following AMD propaganda in an Intel thread.

Or perhaps i18A doesn't bring "unquestioned leadership".
Read it. Interesting. Since you're so confident, what makes you think TSMC N2 differs a lot from Intel's "lithographic patterning of 30-36 nm pitch metal layers of Intel 18A technology node"?

Anyway, I'm dropping this.
Your choice. But I too think you should. Cos it's starting to sound silly.

N2 & 18A share similar PPA & hence similar transistor characteristics like half-pitch, gate length, etc, frequency, efficiency & performance too (at least for a given library). In your own words, you may think think 18A is inferior or more like Intel 4 or like TSMC 5nm. But reality is far different.
 

SiliconFly

Golden Member
Mar 10, 2023
1,060
547
96
I wonder what's preventing Intel from expanding their capacity. Is there some other bottleneck besides EUV tools? They ordered 6 high NA tools and each of these costs twice as much as latest low NA tool. This seems very irrational decision. Wouldn't it make much more sense to ramp 18A as fast as possible, especially if the process is really as good as they say. Besides, they have Synopsis, Cadence helping them with automation tool, libraries,.. and apparently also customers, not to mention internal designs that are being sent to TSMC...
Lack of EUV was a major hurdle initially. And still a hurdle. For example, out of the 6 High-NA, only one is installed and is still not fully functional yet. And it's expected to go into production only in 2026-27 timeframe.

Lithos in general (DUV, EUV & High-NA) take hell a lot of time to go online. For all the EUV orders Intel placed a couple of years back, we're starting to see some results only now. They will get there eventually.
 

Aapje

Golden Member
Mar 21, 2022
1,434
1,953
106
Yes, we're supposed to believe Intel will have capacity and manufacturing volume to do a vast amount of SKUs in the next 1- 2 years. But suddenly they'll lack it for NVL🤔.

Or perhaps i18A doesn't bring "unquestioned leadership".

AFAIK they never claimed that they would ship most, but just that their process would be the best...eventually.

@jur

Keep in mind that ASML isn't exactly a supermarket where you go in and the machines are lined up on the shelf for you to take home.

Even installing and dialing in these machines is a very lengthy process.
 

dullard

Elite Member
May 21, 2001
25,124
3,511
126
I wonder what's preventing Intel from expanding their capacity. Is there some other bottleneck besides EUV tools? They ordered 6 high NA tools and each of these costs twice as much as latest low NA tool. This seems very irrational decision. Wouldn't it make much more sense to ramp 18A as fast as possible,
1) There is one and only one EUV supplier, with a massive backlog of orders. https://www.tomshardware.com/news/a...-hits-record-backlog-exceeds-dollar38-billion
2) There has been a massive chip demand boom. Covid shortages, crypto, and now AI. This makes the backlog for equipment even larger.
3) Intel didn't buy enough EUV machines and then didn't get in line for the machines early enough. Intel had bad management that made bad decisions. They totally missed the ball for this chip demand boom.

Combine the three and Intel is bottlenecked. There is no possible way to ramp 18A much faster without the equipment to do so.
 

jpiniero

Lifer
Oct 1, 2010
14,686
5,316
136
2) There has been a massive chip demand boom. Covid shortages, crypto, and now AI. This makes the backlog for equipment even larger.

Not really, not anymore. The AI stuff is all packaging limited. Even N5, TSMC is in the process of retooling some of it for N3 and friends.

Intel doesn't have much capacity because they were hedging on whether to spin off the fabs... and whether they can actually afford to continue without putting the company at risk.
 
Reactions: spursindonesia

Hans Gruber

Platinum Member
Dec 23, 2006
2,153
1,099
136
Not really, not anymore. The AI stuff is all packaging limited. Even N5, TSMC is in the process of retooling some of it for N3 and friends.

Intel doesn't have much capacity because they were hedging on whether to spin off the fabs... and whether they can actually afford to continue without putting the company at risk.
The technology bill passed by Biden provides tens of billions to Intel and other companies to build and expand their fabs. Even TSMC got several billion for their Arizona operations.
 

DrMrLordX

Lifer
Apr 27, 2000
21,707
10,981
136
They ordered 6 high NA tools and each of these costs twice as much as latest low NA tool.

To add to what others have said, keep in mind that Intel has abandoned plans to make 20a and/or 18a High NA EUV processes. The acquisition of advanced equipment has no bearing on their processes through the entirety of 2025.
 

H433x0n

Senior member
Mar 15, 2023
926
1,013
96
Pitches have been misleading for a long time and are becoming even more misleading. Intel is increasing its pitch from 30 to 36nm with 18A because BSPDN/PowerVia allows a larger M0 pitch without compromising density which helps in multiple ways.
What's the source for that? As far as I know there's nothing public on the features of 18A.
 

SiliconFly

Golden Member
Mar 10, 2023
1,060
547
96
Where do you get 30-36nm pitches? And what feature?
Ghostsonplanets posted a link recently. It sheds some light, but not the whole picture. Even I remember reading a while back that they were able to increase (i think) the gate pitch since they managed to move the PDN to the other side for better performance and lower IR droop or something. Not sure about the details though. When N2 comes out with BSPD, we should be able to expect something similar.
 

Ghostsonplanets

Senior member
Mar 1, 2024
387
659
96
Thoughts on skymont?
Should be a really good core. Kepler recently said that SKT has around SNC/Z3 performance. So you're basically getting an extra 5950X, alongside 8 Lion Cores, if you buy Core Ultra 9 2990K.

Should help ARL be a MT monster. On LNL, it will act more as a LPE core because it will lack L3 cache and is "off-ring" (iirc).
 

mikk

Diamond Member
May 15, 2012
4,152
2,164
136
It's not clear if they refer to performance from IPC and clock speed combined. If it's IPC then I would agree SNC level isn't great when Gracemont already was basically on par with Skylake, at least on integer workloads. And seems like the Skymont cluster in Lunar Lake is quite a bit bigger now compared to Lion Cove big core.


 

Thibsie

Senior member
Apr 25, 2017
765
834
136
It's fake. Huge Intel fanboy that doesn't know anything and he's usually all the time around tech twitter trying to get leaks.

Anyway, you can spot on that it's fake due to him claiming CPU tile and SoC tile are separated tiles fabricated on different nodes. While, from what we know, CPU and SoC tile are merged on PTL. The CPU core configuration is also straight out of MLID videos.
Yeah, that guy isn't worth the attention.
 

mikk

Diamond Member
May 15, 2012
4,152
2,164
136
Yeah, that guy isn't worth the attention.


Too bad Ghostsonplanets is wrong with his tile prediction. Just because LNL integrate Soc into the compute tile doesn't mean Intel will do the same with their regular mobile lineup after Arrow Lake. Why should they do this if they are 18A volume limited. Panther Lake is not a MX chip, it's UPH. There is no On-package memory either based on the Intel slide.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |