Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 298 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
679
559
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,969
  • LNL.png
    881.8 KB · Views: 25,441
Last edited:

Abwx

Lifer
Apr 2, 2011
11,056
3,712
136
Similar battery life between MTL and PHX/HWK for these laptop models it looks like.

Jeezus the cope is sad. Not everything is a conspiracy.

Battery is 75Wh, so explain us why at full load PHX@41W last 1h26 while MTL@34W last 1h17.

What i m saying is that the numbers of the benches for MTL are not at 34W as they state but at more power than PHX since the latter has 15% longer battery life at full load, so including the idle power, wich should be around 5W, MTL is benched at 20% higher power than PHX and this help increasing the score by about 10% all while stating that it use basically 7W less.

So much for the cope, if you cant spot such gross misleadings then what is the point of discussing technology if you cant even master the 4 basic arithmetic ops.?.
 
Last edited:

controlflow

Member
Feb 17, 2015
115
168
116
Jeezus the cope is sad. Not everything is a conspiracy.

That user is in the AMD earnings thread posting about how the only possible explanation for AMD losing market segment share in client this quarter is "anti competitive practices" and that this includes viral marketing and money paid to create "fake reviews" such as this one from Hardware Canucks because there is no way that MTL can compete with the "unrivaled" supremacy of PHX. They also allege that Hardware Canucks "heavily forged" or fabricated the numbers in exchange for money. That is quite literally what they posted, no exaggeration on my part.

Some people just seem wired for conspiracy thinking and wild imaginations.
 

Abwx

Lifer
Apr 2, 2011
11,056
3,712
136
That user is in the AMD earnings thread posting about how the only possible explanation for AMD losing market segment share in client this quarter is "anti competitive practices" and that this includes viral marketing and money paid to create "fake reviews" such as this one from Hardware Canucks because there is no way that MTL can compete with the "unrivaled" supremacy of PHX. They also allege that Hardware Canucks "heavily forged" or fabricated the numbers in exchange for money. That is quite literally what they posted, no exaggeration on my part.

Some people just seem wired for conspiracy thinking and wild imaginations.

Lol..

Here the "34W" MTL Zenbook with OLED screen, it start Cinebench at 67W for the full laptop and do so during almost 50% of the time before throttling at 45W wich amount to 34W at the CPU level, but almost half the bench has been done at about 50W CPU power, so much for your deffamation, that s all what is left for those who trust things at face value.

 

controlflow

Member
Feb 17, 2015
115
168
116
Lol..

Here the "34W" MTL Zenbook with OLED screen, it start Cinebench at 67W for the full laptop and do so during almost 50% of the time before throttling at 45W wich amount to 34W at the CPU level, but almost half the bench has been done at about 50W CPU power, so much for your deffamation, that s all what is left for those who trust things at face value.


  • That laptop is the UX3405MA, the one in the video is the Q425MA.
  • Nothing in that link supports any of the other unhinged claims you made or proves that the Hardware Canucks review is "fake" or "forged" data in exchange for money.
  • I didn't do anything to defame you, you do that yourself.
 
Jul 27, 2020
16,805
10,745
106

Look forward to getting this used or when it drops below $250. It should if the recommended MSI game settings are "LOW".

LOW price for LOW quality gaming experience. Seems only fair.
 

poke01

Senior member
Mar 8, 2022
850
866
106

Look forward to getting this used or when it drops below $250. It should if the recommended MSI game settings are "LOW".

LOW price for LOW quality gaming experience. Seems only fair.
At that point get a used Asus Rog Z1 Extreme or even a used Steam Deck.
 

SiliconFly

Golden Member
Mar 10, 2023
1,060
547
96
Only thing I'll say about that review is their battery life test for "internet browsing" is ridiculous. I've commented on it before but it's probably the worst browsing test out there. Anyway, here are some additional reviews comparing both AMD and Intel models that show things in a bit different light. Make of it as you will.


They're pretty much on par in battery life with some minor differences here and there. But performance wise, when compared to MTL, the competition might have a slight edge. RWC is not exactly known for strong ST performance (or even MT afaik).
 
Reactions: spursindonesia

dullard

Elite Member
May 21, 2001
25,126
3,514
126
One thing I'm still seeing with MTL laptops is their general lack of availability in big box stores vs. anything else. Almost no variety at my local big box retailers at this point feels weird.
Get used to it. Intel will be limited on their latest nodes for the next couple of years. (1) Not enough wafer capacity. (2) Specifically for Meteor Lake, Intel is just opened up their large packaging fab #9 for any chips with advanced packaging needs--meaning they didn't have the ability to ramp up Meteor Lake chips very quickly.
 
Reactions: spursindonesia
Mar 8, 2024
37
110
66
Get used to it. Intel will be limited on their latest nodes for the next couple of years. (1) Not enough wafer capacity. (2) Specifically for Meteor Lake, Intel is just opened up their large packaging fab #9 for any chips with advanced packaging needs--meaning they didn't have the ability to ramp up Meteor Lake chips very quickly.

This feels very extremely less than ideal for intel, lol
 

Ghostsonplanets

Senior member
Mar 1, 2024
387
659
96
One thing I'm still seeing with MTL laptops is their general lack of availability in big box stores vs. anything else. Almost no variety at my local big box retailers at this point feels weird.
As Gelsinger and David have said, Intel is limited at packaging. They said that they're losing opportunities with MTL due to it and expect higher ramp at H2.

We'll probably only see MTL at true volume in 2025 with Arrow Lake U.
This feels very extremely less than ideal for intel, lol
TSMC to rescue. Lunar and Arrow Mobile leverage TSMC for basically everything sans the base Foveros tile.
 
Reactions: spursindonesia

maddie

Diamond Member
Jul 18, 2010
4,772
4,739
136
As Gelsinger and David have said, Intel is limited at packaging. They said that they're losing opportunities with MTL due to it and expect higher ramp at H2.

We'll probably only see MTL at true volume in 2025 with Arrow Lake U.

TSMC to rescue. Lunar and Arrow Mobile leverage TSMC for basically everything sans the base Foveros tile.
You probably don't mean this, but it reads as if this packaging deficit arose accidentally.

Atrocious planning is what we're seeing. I for one would like to know how this could happen in such a Corp, as Intel, and be accepted so easily.
 

Abwx

Lifer
Apr 2, 2011
11,056
3,712
136
  • That laptop is the UX3405MA, the one in the video is the Q425MA.
  • Nothing in that link supports any of the other unhinged claims you made or proves that the Hardware Canucks review is "fake" or "forged" data in exchange for money.
  • I didn't do anything to defame you, you do that yourself.

Lol and re Lol...

You didnt even notice that the sample "tested" by Hardware Canucks has 8% higher Cinebench score than the laptop tested at NBC, wich mean that it use even more power than the NBC one, that is about 18-20% more power, so we can estimate the average CPU power at 50W at Hardware Canucks.

Indeed at NBC the battery life at full load is about 2h while at Hardware Canucks it s 1H17, and 50W CPU power correlate very well with 1h17 battery life on a 75Wh battery, so that s even worse for you, you just exposed further your inability to analyse the numbers.
 

Ghostsonplanets

Senior member
Mar 1, 2024
387
659
96
I don't see how it's acceptable for Intel's shareholders, either. When 90% of the product portfolio is old, power hungry, and getting surpassed by every competitor in normal use-cases... They need a huge turnaround lest they go the way of G5 PPC.
Cost structure of tiled designs with expensive packaging and latest nodes are an issue. I think the usual Intel pricing that can reach from Premium to Low-End is no more. At least for some years.

But they genuinely have ok products and higher volume at Mobile than AMD. So it's not that big of an issue. And Lunar Lake should offer a compelling and novel enough experience in Laptops to be a nice story from Intel. Panther Lake is also coming late 25, so they're trying to iterate quickly.
 

Joe NYC

Platinum Member
Jun 26, 2021
2,072
2,584
106
As Gelsinger and David have said, Intel is limited at packaging. They said that they're losing opportunities with MTL due to it and expect higher ramp at H2.

Yes, Intel said they are limited by packaging now. But it is also worth speculating how much higher the capacity is to fabricate the silicon dies above this limit. Internally and how much of additional silicon Intel ordered from TSMC for other tiles.

We'll probably only see MTL at true volume in 2025 with Arrow Lake U.

TSMC to rescue. Lunar and Arrow Mobile leverage TSMC for basically everything sans the base Foveros tile.

You would think that Intel would be ramping MTL faster and sooner. But there is another number that Intel has floated: 40 million AI PCs in 2024. That would be 40 million of MTL+ARL+LNL in the market of ~270 million CPUs. Which also seems quite low.
 
Jul 27, 2020
16,805
10,745
106
Yeah if AI takes off, Intel will basically be letting ARM eat its lunch and just watch in dismay and utter helplessness coz they won't be able to produce enough tiled laptop chips with NPUs...
 

Joe NYC

Platinum Member
Jun 26, 2021
2,072
2,584
106
You probably don't mean this, but it reads as if this packaging deficit arose accidentally.

Atrocious planning is what we're seeing. I for one would like to know how this could happen in such a Corp, as Intel, and be accepted so easily.

I think it may just be moving from the lab (where Foveros has lived for a decade) to volume production may have had some hiccups.
 
Reactions: spursindonesia

Ghostsonplanets

Senior member
Mar 1, 2024
387
659
96
On the Arrow Lake - U matter, I wonder if ARL-U will also inherits the N4 GFX tile in addition to the i3 Compute tile. Would give a nice boost to efficiency. It's probably only something eithet Bionic or Exist could answer.

Also, Intel could transition the N4 GFX tile to N4C later in 2025 to reduce size and increase yields at lower costs. Would certainly help with ARL-U/S cost structure.

You would think that Intel would be ramping MTL faster and sooner. But there is another number that Intel has floated: 40 million AI PCs in 2024. That would be 40 million of MTL+ARL+LNL in the market of ~270 million CPUs. Which also seems quite low.
When comparing to TGL that shipped >100M in a bit more than a year and Alder Lake which shipped >50M, MTL numbers indeed are on the very low side.

Still, 40M should be nothing to snub at. Specially in a recovering market and given LNL and ARL should be a small part of it for this year. Intel will need to increase packaging capabilities soon due to the ramp-up of more tiled designs.
 
Reactions: Henry swagger
Jul 27, 2020
16,805
10,745
106
I think Foveros is too complex to manufacture and they are probably having to do it very slowly with a lot of steps involved or the final assembled die failing validation and then removing the tiles and putting them on a new base tile again.
 

Ghostsonplanets

Senior member
Mar 1, 2024
387
659
96
Yeah if AI takes off, Intel will basically be letting ARM eat its lunch and just watch in dismay and utter helplessness coz they won't be able to produce enough tiled laptop chips with NPUs...
Will certainly help Arm if so, but Intel is still a giant with huge capilarity at all levels of the market. For Arm to eat their lunch it would need years and volume to match Intel.

Besides, Intel will ramp up packaging capabilities and simplify their tiled design. So Arm designs won't have much of a window of opportunity.
 

Philste

Member
Oct 13, 2023
70
131
66
New Information from Twitter:

It will be:
Core Ultra 9 285K
Core Ultra 9 275
Core Ultra 7 265K
Core Ultra 7 255
Core Ultra 5 245K
Core Ultra 5 240

Leaves space for the rumored 32E-Core refresh Version as 290K/295K
 
Last edited:

Ghostsonplanets

Senior member
Mar 1, 2024
387
659
96
View attachment 98207View attachment 98208
Dunno if the 1st one is about LNL or ARL, but I guess also ARL.

So it will be:
Core Ultra 9 285K
Core Ultra 9 275
Core Ultra 7 265K
Core Ultra 7 255
Core Ultra 5 245K
Core Ultra 5 240

Leaves space for the rumored 32E-Core refresh Version as 290K/295K
I really think you should delete the screenshots. Just the text, without mentioning the account, is fine.

And, yes, it's for ARL-S.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |