Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 253 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
679
559
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,969
  • LNL.png
    881.8 KB · Views: 25,441
Last edited:

Abwx

Lifer
Apr 2, 2011
11,056
3,712
136
Why? If Intel has 82% market share, it has to be wrong, right? See it for yourself... link

Guess that WCCFTech article is some kind of pitifull, and desperate, paid stealth marketing.
In Europe at 5000+ CPUs sold per week Mindfactory is a much bigger CPU seller than Puget wich is below marginal comparatively.





Inflammatory posting. Nothing to do with the Intel title at hand.


esquared
Anandtech Forum Director
 
Last edited by a moderator:

Khato

Golden Member
Jul 15, 2001
1,206
251
136
I tend toward believing the Mercury Research market share numbers rather than any individual retailer - https://www.tomshardware.com/pc-com...new-mercury-research-data-shares-q4-2023-data

Simple fact is that Intel knows how to sell its processors on the client side as evidenced by their revenue share being higher than their unit share. Consumer marketing/brand recognition combined with supporting OEM designs goes a long way in selling products. Being notably ahead on the technical merits of the product is primarily helping AMD on the large scale server deployments.
 

Abwx

Lifer
Apr 2, 2011
11,056
3,712
136
Simple fact is that Intel knows how to sell its processors on the client side as evidenced by their revenue share being higher than their unit share. Consumer marketing/brand recognition combined with supporting OEM designs goes a long way in selling products.

They sure know how to spread FUD and lies of all sorts to keep OEMS and any significant buyer from too much engaging with AMD, that s basically their main "marketing" trick, that is, viral marketing on all fronts.





Even more inflammatory posting. Nothing to do with the Intel Meteor Lake, which
is the topic title.


esquared
Anandtech Forum Director
 
Last edited by a moderator:

DrMrLordX

Lifer
Apr 27, 2000
21,709
10,983
136
Nope. AMD mind share in only among personal PC builders, gamers and enthusiasts that too in very specific parts of the world. A very tiny market. Majority of the office and homes around the world still prefer Intel.
You're forgetting datacentre and cloud, where AMD actually pours most of its resources.

@Abwx

AMD doesn't necessarily want to/need to replace Intel's 10nm shipping volume (or prior 14nm shipping volume) which is why I think we're in the market share situation we are now. There are vast swaths of the consumer market that AMD only currently targets as an afterthought, despite having some very good products for that sector. Maybe once Intel's volume tapers off that situation will change, but so long as Intel keeps cranking out 10nm/Intel7 products en masse, there's going to be a compelling reason for OEMs to keep using them.
 

controlflow

Member
Feb 17, 2015
115
168
116
Not in a lot of places outside the US.

My current strategy to making people believe that AMD is not some small company is to make them do a google search for AMD market cap and then compare with Intel's. They are usually taken aback but I can see behind their faces that it still didn't fully convince them. And these are usually people who don't give much credence to benchmarks.

On a side note, buy INTEL! You might get rich when their stock price starts climbing

Market cap is just one measure of the size of a company and it has the downside of being subject to all kinds of short term irrational market sentiment that is vulnerable to massive swings. You still have to look at it in the context of the financial fundamentals.

I'm not complaining about it since my NVDA has done quite well for me but I think it is fair to say that the AI hype has probably gotten quite out of hand and is probably at least a bit out of touch with reality. AMD has also benefited from this sentiment but obviously not quite to the same extent. In retrospect, I should have held my AMD longer...

AMD isn't a tiny company, but comparing the size of AMD and Intel using just market cap is amusing.
 

Hulk

Diamond Member
Oct 9, 1999
4,269
2,089
136
If the 14900KS is actually going to be released on March 14 and with 6.2 dual core and 5.9 all-core clocks as is speculated on the internet then Intel must have quite a bit of confidence in ARL's ability to surpass this performance.
I wish them well but I think they are setting themselves up for a "meh" launch as we saw with MTL. I mean seriously, if the 15900K without HT on Intel 4 surpasses 6.2/5.9 14900KS performance at launch I'll be impressed.

 

poke01

Senior member
Mar 8, 2022
856
873
106
if the 15900K without HT on Intel 4 surpasses 6.2/5.9 14900KS performance at launch I'll be impressed.
It’s not Intel 4 but TSMC N3B. Considering it scales very well see M3 to M3 Max. I have no doubt that performance will increase with Arrow lake.

Remember both the P core and E core will get updates. These are not Alder lake refreshes. I guess we just have to wait till late 2024.
 

gdansk

Platinum Member
Feb 8, 2011
2,212
2,836
136
if the 15900K without HT on Intel 4 surpasses 6.2/5.9 14900KS performance at launch I'll be impressed.
I'll be impressed depending on a variety of factors, including the price and power consumption needed to surpass it. But it *should* be faster or there really isn't much point in launching it and giving a competitor, TSMC, free money.
 

Hulk

Diamond Member
Oct 9, 1999
4,269
2,089
136
It’s not Intel 4 but TSMC N3B. Considering it scales very well see M3 to M3 Max. I have no doubt that performance will increase with Arrow lake.

Remember both the P core and E core will get updates. These are not Alder lake refreshes. I guess we just have to wait till late 2024.
It's gonna be interesting, that's for sure.

New node that I'm betting won't be hitting current 10++++++++ frequencies (-5 to 10%?)
No hyperthreading (-20% for MT?)
Increased latency due to tiles (-2 to 3%)

Lion Cove and Skymont must be "tremendous" to overcome those deficits, which might be 10% for ST and 30% for MT.

Can't wait!
 

Hulk

Diamond Member
Oct 9, 1999
4,269
2,089
136
I'll be impressed depending on a variety of factors, including the price and power consumption needed to surpass it. But it *should* be faster or there really isn't much point in launching it and giving a competitor, TSMC, free money.
I'm wondering if ARL will be a "proof of concept" release, much like MTL turned out to be.
 

poke01

Senior member
Mar 8, 2022
856
873
106
I'm wondering if ARL will be a "proof of concept" release, much like MTL turned out to be.
I don’t think so. The tiled approach and NPU has been trialed with MTL. The desktop K chips are based on N3B which by the time arrow lake releases will be already 1 year old.
 

SiliconFly

Golden Member
Mar 10, 2023
1,062
548
96
New node that I'm betting won't be hitting current 10++++++++ frequencies (-5 to 10%?)
No hyperthreading (-20% for MT?)
Increased latency due to tiles (-2 to 3%)
I've observed that people in general overlook one very important factor when a processor jumps to a better node. It gets an increased transistor budget due to the increase in density which is not only used to increase logic, but also the caches that offer additional performance.

So, in essence, when jumping to a new node with a little bit of clock regression, the performance loss can be easily offset by the extra transistor budget. A problem arises only when Fmax is significantly lower compared to the previous node. I don't think thats the case here, cos if it were true, Intel wouldn't even consider using that node for desktop parts. Doesn't add up.
 

Hulk

Diamond Member
Oct 9, 1999
4,269
2,089
136
I've observed that people in general overlook one very important factor when a processor jumps to a better node. It gets an increased transistor budget due to the increase in density which is not only used to increase logic, but also the caches that offer additional performance.

So, in essence, when jumping to a new node with a little bit of clock regression, the performance loss can be easily offset by the extra transistor budget. A problem arises only when Fmax is significantly lower compared to the previous node. I don't think thats the case here, cos if it were true, Intel wouldn't even consider using that node for desktop parts. Doesn't add up.

Of course I'm not overlooking the additional transistor budget afforded by a node shrink. That is the basis for architectural improvements. My point is that the move to tiles, lack of HT, and extremely high Intel 7 clocks make the normal jump all the more difficult. They have to gain A LOT of IPC just to break even.
 

Hulk

Diamond Member
Oct 9, 1999
4,269
2,089
136
I don’t think so. The tiled approach and NPU has been trialed with MTL. The desktop K chips are based on N3B which by the time arrow lake releases will be already 1 year old.

I've read this a few times but still don't understand what you are saying? I assume the desktop K chips on N3B are ARL parts? How will ARL parts be 1 year old when ARL is released?
 

poke01

Senior member
Mar 8, 2022
856
873
106
I've read this a few times but still don't understand what you are saying? I assume the desktop K chips on N3B are ARL parts? How will ARL parts be 1 year old when ARL is released?
sorry I should have proof read the post.

Arrow Lake will release on a node that’s already been in use for more than year when Intel launches Arrow Lake. So nothing about Arrow Lake is a trial of sorts. Intel just needs Lion Cove and Skymont to be a success, it’s on the architecture itself to deliver the performance and efficiency.
 
Reactions: Hulk

ondma

Platinum Member
Mar 18, 2018
2,727
1,296
136
It's gonna be interesting, that's for sure.

New node that I'm betting won't be hitting current 10++++++++ frequencies (-5 to 10%?)
No hyperthreading (-20% for MT?)
Increased latency due to tiles (-2 to 3%)

Lion Cove and Skymont must be "tremendous" to overcome those deficits, which might be 10% for ST and 30% for MT.

Can't wait!
I am disappointed at the lack of hyperthreading or increased E cores, but considering all the E cores in 14900k I doubt that HT adds 20% to overall performance.
 

coercitiv

Diamond Member
Jan 24, 2014
6,257
12,195
136
I am disappointed at the lack of hyperthreading or increased E cores, but considering all the E cores in 14900k I doubt that HT adds 20% to overall performance.
When Intel already has 10 cores in their lowest i5 SKU, the lack of HT in ARL will be more of a spreadsheet problem than a consumer problem. The only thing they need to do is increase core count for Ultra 3 or whatever they'll call it (the current i3).

Without even looking for actual measurements, with the conventional wisdom of "1P=2E" and "SMT=20-25%" we should be looking at a 10-12% loss in MT due to removal of SMT... and that's in Cinememe scores since for actual workloads SMT thread should be the last in the queue and most consumer workloads simply won't scale beyond the E core spam.

All we really need from ARL is stronger ST perf and minimized latency tradeoffs due to tiled structure.
 

SiliconFly

Golden Member
Mar 10, 2023
1,062
548
96
I am disappointed at the lack of hyperthreading or increased E cores, but considering all the E cores in 14900k I doubt that HT adds 20% to overall performance.
Hyperthreading kicks-in only after ALL the physical cores get saturated. That is, it's pretty much useless in these times where each CPU has dozens of cores. Other than benchmarking and running heavily multi-threaded workloads, it's pretty much useless.

Like @coercitiv eloquently put, it's "more of a spreadsheet problem than a consumer problem".
 

FlameTail

Platinum Member
Dec 15, 2021
2,356
1,276
106
Hyperthreading kicks-in only after ALL the physical cores get saturated. That is, it's pretty much useless in these times where each CPU has dozens of cores. Other than benchmarking and running heavily multi-threaded workloads, it's pretty much useless.

Like @coercitiv eloquently put, it's "more of a spreadsheet problem than a consumer problem".

Which is why consumer ARM cores don't have have multithreading.
 
Reactions: SiliconFly
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |