Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 143 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
679
559
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,969
  • LNL.png
    881.8 KB · Views: 25,441
Last edited:

rtxtwt

Senior member
Jul 2, 2018
319
505
136
This LNL leak is a catastrophe to Intel according to some leaker's statement. It's even more disasterous than ARL performance slides few months ago. Let's hope the guy who leak this won't get fired.

I hope the memory part is outdated by now and LNL-MX actually debuts with 24/48GB options.
These slides are just few months old.
 

tamz_msc

Diamond Member
Jan 5, 2017
3,828
3,659
136
This LNL leak is a catastrophe to Intel according to some leaker's statement. It's even more disasterous than ARL performance slides few months ago. Let's hope the guy who leak this won't get fired.
Uhh not looking good for intel foundry. Made on N3B lol
LOL what, why?

I hope the memory part is outdated by now and LNL-MX actually debuts with 24/48GB options.
It cannot, because LPDDR5x density is either 64 Gb or 128 Gb, so only those configs are possible.
 

tamz_msc

Diamond Member
Jan 5, 2017
3,828
3,659
136
More BW and capacity on the higher end SKUs than Apple M3. Same CPU config - 4P+4E as the M3. If Apple stagnates on P-core gen on gen performance improvements, and Intel gains momentum with Lunar Lake MX and its followers, Apple is gonna regret going the ARM route.
 

Joe NYC

Platinum Member
Jun 26, 2021
2,072
2,585
106
This LNL leak is a catastrophe to Intel according to some leaker's statement. It's even more disasterous than ARL performance slides few months ago. Let's hope the guy who leak this won't get fired.


These slides are just few months old.
Why do you think this is catastrophic? It is only catastrophic for Intel foundry, but otherwise, without knowing the performance, it looks fine to me.
 

mikk

Diamond Member
May 15, 2012
4,152
2,164
136
So Lunar Lake MX will integrate the CPU and GPU on one tile?


Yes it will.

40% scenario power reduction over MTL-U T4 sounds big whatever it exactly means. VVC HW decoding for the first time.

16GB is fine, for the majority of people this will be enough. This is not a high end gaming or workstation device at the end of the day. For those people who needs more there is a 32GB option.
 

Joe NYC

Platinum Member
Jun 26, 2021
2,072
2,585
106
So Lunar Lake MX will integrate the CPU and GPU on one tile?

It seems like a more optimum breakdown between N3 and (likely) N6 for SoIC. At least compared to Strix Halo, which has the SoC with I/O + graphics on N3 (apparently) together with analog stuff and the MALL SRAM...
 

tamz_msc

Diamond Member
Jan 5, 2017
3,828
3,659
136
I mean intel had to fab their lead chips 2 gens in a row on n3b I don't know what to tell you.
Eh, first define what you mean by "lead chip". They are pursuing the strategy of different nodes for different products. Arrow Lake is 20A, Granite Rapids is 3nm, MTL 4nm etc.

Only Intel has the luxury of not having to be wholly dependent on TSMC or Samsung.
 

Joe NYC

Platinum Member
Jun 26, 2021
2,072
2,585
106
Eh, first define what you mean by "lead chip". They are pursuing the strategy of different nodes for different products. Arrow Lake is 20A, Granite Rapids is 3nm, MTL 4nm etc.

Only Intel has the luxury of not having to be wholly dependent on TSMC or Samsung.
Arrow Lake is N3B, some 20A, and other chiplets are all TSMC.
Lunar Lake is all TSMC.

So leading desktop and leading client product are going to be mostly on TSMC precisely when Intel should be completing its "5 nodes in 4 years".
 

tamz_msc

Diamond Member
Jan 5, 2017
3,828
3,659
136
Arrow Lake is N3B, some 20A, and other chiplets are all TSMC.
Lunar Lake is all TSMC.

So leading desktop and leading client product are going to be mostly on TSMC precisely when Intel should be completing its "5 nodes in 4 years".
Arrow Lake CPU tile is 20A. Granite Rapids is 3nm. Lunar Lake is obviously catered to premium ultrabooks that compete with Macs, so it will be low volume. So the most important products are still on Intel nodes.

And I don't think that 5 nodes in 4 years means Intel will have lead products in segments for each of those nodes. The plan is to regain process leadership.
 

tamz_msc

Diamond Member
Jan 5, 2017
3,828
3,659
136
But also TSMC N3. Where else do you think Intel's big order for TSMC N3 is going to?

According to the press report, it was a sizeable order that Intel placed for TSMC N3 capacity.
That still doesn't imply "two generations of lead products" on non-Intel nodes, as the other commenter was saying.
 

Kinshasa

Junior Member
Nov 20, 2023
1
0
6
OK, WOAH!!! The thing that jumps out at me is real time raytracing! What the heck is that??? Does that mean zero cost raytracing in any scene????
No, it will have hardware accelerated ray tracing, but while this is a step in the right direction, it is probably not enough to do anything too interesting. It is going to still be a while before hardware allows for that kind of ray tracing.
 

Goop_reformed

Member
Sep 23, 2023
179
233
76
Eh, first define what you mean by "lead chip". They are pursuing the strategy of different nodes for different products. Arrow Lake is 20A, Granite Rapids is 3nm, MTL 4nm etc.

Only Intel has the luxury of not having to be wholly dependent on TSMC or Samsung.
So now the question points to what happened to intel foundry that they INCREASED reliance on TSMC. I mean at some point they gotta fab their own lead chips. Granite Rapids aren't coming out soon to be honest.
 
Reactions: Thunder 57

tamz_msc

Diamond Member
Jan 5, 2017
3,828
3,659
136
So now the question points to what happened to intel foundry that they INCREASED reliance on TSMC. I mean at some point they gotta fab their own lead chips. Granite Rapids aren't coming out soon to be honest.
Granite Rapids is H2 2024. Unless AMD announces Turin at the upcoming December 6 event, Intel and AMD are going to release their server lineup close to one another.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |