Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 2 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
677
559
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,966
  • LNL.png
    881.8 KB · Views: 25,438
Last edited:

lightisgood

Member
May 27, 2022
156
64
61
"Meteor Lake and Arrow Lake chips will scale to meet the needs of the mobile and desktop PC market, whereas Lunar Lake will serve the mobile 15W and under market."

The chiplets and interposer are wired together with TSV connections, and the interposer doesn't have any logic.

The Meteor Lake base tile is different than the one found in Lakefield, which served as an SoC of sorts.

The 3D Foveros packaging tech also supports active interposers.

Intel says it manufactures the Foveros interposer with its low-cost and low-power-optimized 22FFL process (the same as Lakefield).

I think that passive base tile largely has two benefits.

1st:low-cost, 2nd:high-scalability.

So, MTL family could have the "8P + 32E" compute tile.

Similarly, They could have 256EUs (128EUs*2 or 256EUs*1).
 
Reactions: Zucker2k

dullard

Elite Member
May 21, 2001
25,107
3,469
126
All of the things shared by Intel thus far have been either with either a 2+8 or a 6+8 die afaik.
Intel has been showing a lot of their cards. But this particular card they have held closely to themselves. Intel could put a lot of cores onto Meteor Lake, or not. I personally think Meteor Lake desktop is just a temporary stepping stone towards the much more powerful Arrow Lake desktop. But, I could be wrong.
 
Reactions: Joe NYC

moinmoin

Diamond Member
Jun 1, 2017
4,965
7,704
136
I meant the fact that it was even on N3 to begin with, and the only reason it was ever accepted by fact is this incredibly misleading slide from Intel which was displayed earlier this year:

View attachment 66361
Intel should have listed 22nm, N6 and N5 and whatever other nodes ARL will use as well just to be actually all encompassing. /s

Glad it's finally cleared up. Looking forward to seeing what Intel will do with the flexibility won through this approach. I'm hoping for some positive surprises. Or will those be limited to Lunar Lake?
 

Tech Junky

Diamond Member
Jan 27, 2022
3,432
1,154
106
Meteor Lake desktop is just a temporary stepping stone
Seems a lot like 10/11th gen being uneventful compared to ADL/RPL having significant boosts in performance. I skipped 10/11 knowing ADL would blow them out of the water with features. It didn't make any sense to waste the time or money rebuilding for them. The same goes for MTL as the real punch is going to come with ARL. The shift is significant skipping the odd releases at this point. They're just placeholders to keep up with the market being able to say it's the latest generation for marketing and consumers gobble it up.

ARL and beyond should be worthwhile though but, there's so much unknown events taking place in the surrounding areas of tech that impact how the CPU might perform or implement those additional technologies. Considering the leap from PCIE >> PCIE in only a couple of years makes me wonder if we'll be seeing additional leaps forward in that realm as well WIFI7 making an impact on the the networking side.

For instance they just released a CNVIO2 / AX411 with dual connect that uses 2.4/5 bands simultaneously to speed up aggregate bandwidth for single 2x2 clients. With the AX210 it performed fine but, with the AX411 I'm hitting closer to the max speed afforded by 160mhz channels which would be 70% of 2400mbps which is 1700mbps and routinely getting 1500mbps from the new card.

There's also talk about Thunderbolt 5 coming soon which will be more lane / BW intensive doubling the speeds to 80gbps. There's just so much stuff that ties into the equation to really assess what will come to fruition and when due to how OEMs have to apply them to the board based on the specs from Intel and all other parties.
 

lightisgood

Member
May 27, 2022
156
64
61
Is it possible that the SoC tile has a large amount of cache to act as a buffer to hide the increased latency due to going with the chiplet approach?


IMO, it is not critical either monolithic/tile arch.

#c.f. Sapphire Rapids maintains the traditional mesh topology.

Thanks to ring topology, Xe-LP@TGL can rely on (up to) 12MiB CPU's SRAM as VRAM.

But MTL's bus topology must be changed.
So, Xe-tile@MTL can't do it.

This is why, MTL's SoC tile could have large SRAM area combining CPU's L4$ and VRAM.

On the other hand, optional-memory-tile, by Co-EMIB, might be more better implementation for the large SRAM (or eDRAM) area.
 

SteinFG

Senior member
Dec 29, 2021
443
507
106
And so if this gpu tile is really just 2x the cores, then this explains one of Intel's meteor lake slides which said 96-192eu. It's 92eu for 2+8 config, and 192eu for 6+8 config
 

Exist50

Platinum Member
Aug 18, 2016
2,445
3,043
136
It would be weird to offer only 64eu on 2+8 version because intel already offers 96eu on 2+8 alderlake models, so this would be a step backwards.
Well the EUs would be significantly better, so there's zero chance of a performance regression, but I agree that it looks odd on paper.
 

IntelUser2000

Elite Member
Oct 14, 2003
8,686
3,785
136
And so if this gpu tile is really just 2x the cores, then this explains one of Intel's meteor lake slides which said 96-192eu. It's 92eu for 2+8 config, and 192eu for 6+8 config

That makes little sense since 2+8 needs the fastest iGPU like now. Otherwise they would be even less flexible.

Intel can easily make half a dozen different versions, and they did that with monolithic dies!
 

uzzi38

Platinum Member
Oct 16, 2019
2,662
6,163
146
That makes little sense since 2+8 needs the fastest iGPU like now. Otherwise they would be even less flexible.

Intel can easily make half a dozen different versions, and they did that with monolithic dies!
Each new combination needs a new base tile. This should be relatively easy to do - it's produced on 22FFL so taping these out should be rather cheap. So the question we should probably be asking is how many base tilese are there and what are they being used for?
 
Reactions: moinmoin

ashFTW

Senior member
Sep 21, 2020
312
235
96
Each new combination needs a new base tile. This should be relatively easy to do - it's produced on 22FFL so taping these out should be rather cheap. So the question we should probably be asking is how many base tilese are there and what are they being used for?
That’s not true when using Foveros Omni. The top tiles can expand beyond the base tile; the logic to connect the tiles needs to be constrained to the overlapping regions of the tiles.

 
Last edited:

ashFTW

Senior member
Sep 21, 2020
312
235
96
They've already confirmed it uses 36 micron Foveros, and you can see from everything they've presented that it's not Omni. Believing anything else at this point is pure hopium.
Omni may appear with Arrow lake, it’s the logical thing to do with varying sizes of top die.
 

IntelUser2000

Elite Member
Oct 14, 2003
8,686
3,785
136
And what makes you think that?

Did we not discuss this before with you? Meteorlake is basically a "shrink" of Foveros to 36um. Omni is later. Also, teaser for tomorrow's Hot Chips presentation is out already. Nothing about Omni with Arrowlake.

@Exist50 definitely has good info. So I now take his word for it. Like the part about which tiles fit to what functionality, different configurations, he got all of them right.

What is ULV core?

It's an Atom-based core section dedicated to offloading to lower CPU utilization and increase battery life.
 
Last edited:
Reactions: Joe NYC and uzzi38
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |