Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 3 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
677
559
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,966
  • LNL.png
    881.8 KB · Views: 25,438
Last edited:

witeken

Diamond Member
Dec 25, 2013
3,899
193
106
People like @uzzi38 who subscribe to the "Meteor Lake GPU was always 5nm" forget that besides the N3 slide at Investor Meeting, there have been rumors, from multiple sources, that Intel would be first in line for N3 (H2'22 production) as far back as 2020.

Those rumors were confirmed again in 2021. And again in 2022.

 
Reactions: Joe NYC

uzzi38

Platinum Member
Oct 16, 2019
2,662
6,163
146
People like @uzzi38 who subscribe to the "Meteor Lake GPU was always 5nm" forget that besides the N3 slide at Investor Meeting, there have been rumors, from multiple sources, that Intel would be first in line for N3 (H2'22 production) as far back as 2020.

Those rumors were confirmed again in 2021. And again in 2022.


Such rumours are entirely meaningless. Where were they actually confirmed in thsoe articles btw? One of those is a dead link, the other is claiming that Intel would use N3 for it's i3 lineup in 2022, and N5 in 2021 (like that turned out well, right?).

Come on.
 
Reactions: Lodix and ftt

lightisgood

Member
May 27, 2022
156
64
61
People like @uzzi38 who subscribe to the "Meteor Lake GPU was always 5nm" forget that besides the N3 slide at Investor Meeting, there have been rumors, from multiple sources, that Intel would be first in line for N3 (H2'22 production) as far back as 2020.

Those rumors were confirmed again in 2021. And again in 2022.


Frankly speaking, TSMC N3 is rotten process.

Intel must have revised first plan of MTL and reduced MTL's competitiveness.
However, IMO, rotten N3 could give Intel 4/3 process famiry much larger benefit.

This is recent article of N3.
 

jpiniero

Lifer
Oct 1, 2010
14,656
5,280
136
Frankly speaking, TSMC N3 is rotten process.

There doesn't seem to be anything wrong with it now. It's just very overpriced. That was the strange part about using N3 - a $40 IGP chiplet makes zero sense.

The one thing I do wonder about is that if they are really using 22FFL for the base tile, where they would get the capacity. I imagine they probably still have the tools but they would need a lot of space.
 
Reactions: Joe NYC

eek2121

Platinum Member
Aug 2, 2005
2,930
4,027
136
Frankly speaking, TSMC N3 is rotten process.

Intel must have revised first plan of MTL and reduced MTL's competitiveness.
However, IMO, rotten N3 could give Intel 4/3 process famiry much larger benefit.

This is recent article of N3.

It. was. never. planned.

There are existing slides from Intel themselves long before the N3 rumor exploded. Intel communicated to the press that they would be using N6/N5 long before the rumor exploded.

You were misled by folks trying to drive up subscriber counts and views to videos and websites.
Indeed. If they have anything else planned, it somehow has flown under all the radars of various leakers.

Do we know how much of an IPC uplift Intel plans to bring for both cores? Maybe they don’t need more cores. Otherwise I could see them simply adding a second CPU die to make 12+16.
 
Reactions: scineram

DrMrLordX

Lifer
Apr 27, 2000
21,678
10,940
136
Do we know how much of an IPC uplift Intel plans to bring for both cores?

The Redwood Cove is apparently not a radical change from Golden/Raptor Cove. Supposedly. We'll see more next year perhaps. As for the new -mont? Uh, Crestmont? Complete unknown.

Otherwise I could see them simply adding a second CPU die to make 12+16.

I've mused on that as well. Until we see desktop packages we won't know if Intel will try something like that. The 6+8 tile is pretty small . . .
 

dullard

Elite Member
May 21, 2001
25,103
3,462
126
People like @uzzi38 who subscribe to the "Meteor Lake GPU was always 5nm" forget that besides the N3 slide at Investor Meeting, there have been rumors, from multiple sources, that Intel would be first in line for N3 (H2'22 production) as far back as 2020.

Those rumors were confirmed again in 2021. And again in 2022.

Psst: Reread your links as Arrow Lake. Those links do not mention Meteor Lake (although, the 2021 link doesn't work, so I didn't read it).

In order to have Arrow Lake in late 2023/early 2024, Intel needs N3 up and running in 2022 for engineering and qualification samples. Then, once they pass, to keep N3 running to have enough good tiles for Arrow Lake mass production ramp up.
 
Last edited:

IntelUser2000

Elite Member
Oct 14, 2003
8,686
3,785
136
View attachment 66462

Are they talking about Meteor Lake here? Or is the architecture the same for MTL (mobile) and Arrow Lake (desktop)?

I don't think it should be taken as anything specific. They are just saying it allows them to be that flexible.

@Exist50 The number of Xe cores being 4-16 shown above equals 64-192 EUs. If we believe some leaks are intentional we can also speculate it's meant to obfuscate.

The slides confirm Foveros Omni starts at 25um. It even suggests Lunar Lake might be Foveros, not Omni
 

Joe NYC

Platinum Member
Jun 26, 2021
2,031
2,494
106
There doesn't seem to be anything wrong with it now. It's just very overpriced. That was the strange part about using N3 - a $40 IGP chiplet makes zero sense.

The one thing I do wonder about is that if they are really using 22FFL for the base tile, where they would get the capacity. I imagine they probably still have the tools but they would need a lot of space.

It does seem like an overkill for iGPU.

Another thing it tells me that the days of nVidia selling notebook dGPUs are likely numbered.
 

mikk

Diamond Member
May 15, 2012
4,151
2,164
136
Core counts scalability tops out at 6+8, I think this will be the max core count on desktop. It makes sense considering the rumor says MTL is only for lowend-midrange on desktop. GPU scalability goes from 64EUs up to 192 EUs.
 

Saylick

Diamond Member
Sep 10, 2012
3,209
6,553
136
It does seem like an overkill for iGPU.

Another thing it tells me that the days of nVidia selling notebook dGPUs are likely numbered.
Nvidia won't be completely ousted from the notebook dGPU market. For CAD workstations, they'll maintain their lock due to excellent drivers + Optix. They might lose the lower end of the notebook gaming market, but it will be much harder to lose the higher end.
 
Reactions: Joe NYC and ftt

witeken

Diamond Member
Dec 25, 2013
3,899
193
106
Intel, America's biggest chipmaker, is working with TSMC on at least two 3-nm projects to design central processing units for notebooks and data center servers in an attempt to regain market share it has lost to Advanced Micro Devices and Nvidia over the past few years. Mass production of these chips is expected to begin by the end of 2022 at the earliest.
"Currently the chip volume planned for Intel is more than that for Apple's iPad using the 3-nanometer process," one of the sources said.


Psst: Reread your links as Arrow Lake. Those links do not mention Meteor Lake (although, the 2021 link doesn't work, so I didn't read it).

In order to have Arrow Lake in late 2023/early 2024, Intel needs N3 up and running in 2022 for engineering and qualification samples. Then, once they pass, to keep N3 running to have enough good tiles for Arrow Lake mass production ramp up.

You've been warned before yet i'll inform you once again. You must include your own personal commentary when dropping links or other members quotes.

Daveybrat
AT Moderator
 
Last edited by a moderator:
Reactions: igor_kavinski
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |