Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 2 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
679
559
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,969
  • LNL.png
    881.8 KB · Views: 25,441
Last edited:

coercitiv

Diamond Member
Jan 24, 2014
6,257
12,197
136
The one area Intel could give them trouble is MT performance if they have the die budget to go +24 on the E's.
The leak we had from Intel's internal estimates (via Igor's Lab) was for a 8+16 die, I don't expect any 8+24 or 8+32 config to come this year.
 

dullard

Elite Member
May 21, 2001
25,126
3,516
126
I mean I get your sarcasm, some people will complain no matter what. For the past couple gens, Intel has had great performance (at least on desktop) but efficiency has been rather subpar. So if ARL-S brings major efficiency gains, that will be excellent.

I think the sentiments about ARL-S being a dud or "meh" stem from the rumors of overall performance not going up much. Kind of like the reaction to RDNA3. It brought efficiency but not much on the performance front. Enthusiasts want to see longer bar graphs in reviews!
Yes it was sarcasm, pointed at the recent discussion (split between this thread and the foundry node thread) about a 2% drop in ST performance on one 7-Zip benchmark comparing a laptop Meteor Lake to a SFF desktop Raptor Lake.

Both efficiency and performance are important. It does seem like Arrow Lake (at least at first) is focusing on efficiency more than pure performance. Nothing wrong with that. Intel is addressing their biggest weakness.

I'm personally disappointed that they aren't (yet) taking the area savings and adding more cores, so we would get significant efficiency and MT performance gains. I wouldn't call it a dud. I personally think most chips released in the past few years (from all companies) are good chips.
 

AMDK11

Senior member
Jul 15, 2019
291
197
116
So are you hoping for something like this?
I think that looking at the average of the IPC growth curve of SunnyCove +18% and GoldenCove +19%, LionCove +20% is at least a 30-40% expansion of the core logic. If the expansion is larger than before, for example 40-50+% more, the average of the IPC curve will be higher. Anything less than 18-20% IPC increase will be a failure due to the fact that LionCove is not for one generation, but at least 2.
 
Mar 8, 2024
37
110
66
Chalking up the loss of HT to apple alone is pretty silly; i think a more reasonable explanation has something to do with the utter lack of success that intel has with arresting power budgets in a way that scales with performance. If you're a company with a history of utterly catastrophic duds, you're on the back foot against AMD, and you NEED to have a successful generational launch to stop the coming tide of OEM mutiny - you axe the thing that makes it harder to hit performance goals. I'm not sure how it'll play out in marketing terms though (people generally like seeing big number, because that's more bigger and better and gooder)
 

tamz_msc

Diamond Member
Jan 5, 2017
3,828
3,661
136
No, Atom cache is just made to be slow and low power (ish).

I don't think we're going back to Penryn but I digress.
You're overthinking Atom capabilities hard.
You are underplaying the capabilities that Intel has when it comes to architecture of the building blocks in terms of their granularity.

Intel can decide which core to put in a mesh building block , and route them with their corresponding MCs and other cores at the individual core level.



There is no architecture-specific restriction on the size of the L2 in this approach.
 

SiliconFly

Golden Member
Mar 10, 2023
1,063
549
96
I don't buy ST improvement of 25-35% at all.
He's just shooting in the dark. He's being purposefully fuzzy just to cover his back if his prediction fails. Even if ARL performance falls out of his predicted range, he'll just introduce new elements like clocks, interconnect, packaging, cache performance, etc, to justify his claims and still say he was right. We just need to take this with a bucket of salt.
 

Geddagod

Golden Member
Dec 28, 2021
1,159
1,035
106
ARL will be their first true product in the right direction (with absolutely no legacy stuff).
iGPU is recycled from MTL, as is SOC tile and the rest of the setup.
It may end up with just mediocre uplift or might be extremely high like a few suggested.
Idk how many times I say this, and you just ignore it, but again, it's literally only MLID who expects a high performance uplift. Literally everyone else, Xino, Raichu, etc etc all say the perf uplift is gonna be ~10% over RPL.
LNC is make or break for Intel. If LNC tanks, we can all (and should) say goodbye to Intel and move on.
That's such an over reaction lol.
But one thing that bothers me is, people in general are giving it too much importance (considering the fact it's just a low volume niche product).
Who said it was low volume?
More like a tech demo. Creating a new market takes many years.
That market has been there for a while, Intel has just been a very bad participant in it. Apple is much better at that.
 

Geddagod

Golden Member
Dec 28, 2021
1,159
1,035
106
ntel "may" have a contingency plan to deal with a repeat of a Zen 3 like situation by now.
wut
They kinda kept themselves competitive with Raptor Lake by increasing the cache sizes.
I don't think the increased cache sizes did much. In gaming the increased L2s just had an increased PPC of like ~5% in gaming. It was mostly just clocks + more cores.
Maybe ditch the original ARL design and go with the Refresh design with increased cache sizes for the ARL debut?
If ARL-R had increased cache sizes they wouldn't be calling it ARL-R, it would be called something else IMO.
 
Reactions: Thunder 57

Geddagod

Golden Member
Dec 28, 2021
1,159
1,035
106
MLID says 25-35% higher performance than Raptor Lake, which is presumably MT perf. Raichu says 15% IPC improvement, and 10% ST perf uplift over RPL refresh i.e. 14900K.

I don't see how these two claims are incompatible with each other.
Well, first of all, we are talking about LNC performance, not MT perf in general.
Second of all, MLID thinks the ST perf is gonna be "at least 25%" higher than RPL.
And both were helped by the increased cache availability. Their performance uplift would've been smaller with the original Alder Lake caches
The L2 cache increase only netted like an ~5% gain in PPC in gaming.
 

DavidC1

Senior member
Dec 29, 2023
203
284
96
And now they came back crawling to low power designs with a much more expensive to manufacture and using external manufacturing (Sans for the passive tile) Lunar Lake.
Intel has been a reactive company for decades, as opposed to being a leader in their golden days.

Celeron was a reaction to Via, Pentium M was for Transmeta, and Core 2 was Athlon.

If it wasn't for the courts always handing wins to Intel(such as with Nvidia x86 patent fiasco), then the x86 market would have had serious upheaval and Intel may have fallen lower many years ago. Transmeta for example developed a rather decent chip for it's power class despite needing a translator to run x86 and thus incurred substantial performance penalties.

Of course the courts handed Intel a win again since Denver translated too but was barred from using it. The "too-big-to-fail" idea extended to semi markets.

Nvidia regularly puts out reticle-limit chips, and faltered against competition far far less, still run by not only an engineer as a CEO, but a founder nonetheless! The employee satisfaction for the company is strikingly high, almost highest in the industry, as opposed to Intel. Hmm, it can't be that Intel had to defend legally against Denver considering how Nvidia would have been a very formidable competitor can it? Nope, that's exactly it.

It is a fact of life those in positions of significant power use legal means to win over otherwise eventually unfavorable conditions if it was purely due to merit. Maybe if there was true competition, there would have been far nimbler competitors that would have truly challenged the "Wintel" hegemony.

Imagine the speed of ARM chips in development pace but they were x86.
 
Last edited:

coercitiv

Diamond Member
Jan 24, 2014
6,257
12,197
136
If Intel fails with LNL then I got no hope for them for the low power SoCs from them. They need to match or exceed M3 in ST(GB6) because optics.
I think this has been said repeatedly before, but it bears repeating: no single product matters anymore, only execution/iteration speed. LNL can be as mediocre as they come, the important part is how fast they're able to introduce the next meaningful upgrade. Single achievements matter less than cadence nowadays. Folks should not worry when Intel introduces a new chip that fails to meet expectations, they should worry when Intel introduces the refresh of that chip. That's when they fall behind. Big jumps don't cut it anymore, there's just too much to juggle in terms of uncore changes, especially in the context of disaggregation.

Intel as a collective have this modus operandi in heir heads, where their win condition is sheer technological prowess, they introduce something so advanced that the competition simply cannot handle. They don't just make better nodes or better packaging, they make the best node and the best packaging. They go for high cost / high reward / high risk moves. It probably worked well for them in the past so they made this part of their company culture, whether they acknowledge it internally or not (I have no idea if they do, my analysis is 100% from the outside). Lately they've shown some signs of improvement on this front, they seem to have stopped chasing for the next big thing and started working harder on fixing what went wrong yesterday. It's not clear to me if the arrogance is gone, but they seem to emulate humbleness good enough to translate it into action.

So don't lose hope for them, but don't get excited for tomorrow either. It's a process.
 

mikk

Diamond Member
May 15, 2012
4,152
2,164
136
Nah. I’ve already seen from other sources the top freq for LNL’s lower power parts will be in the upper 2’s to lower 3’s. I have independent sources. They could be wrong, but still.

There will be higher powered parts though.

What other sources? The max frequency on this page is factual meaningless, you can see this on basically every other chip there. With your logic we could apply this to every other past generation which of course is nonsense. Furthermore we have seen a Sisoft entry from Lunar Lake last year with a reported max frequency of 3900 Mhz on an older stepping. How do you explain this?
 

Ghostsonplanets

Senior member
Mar 1, 2024
388
659
96
SKU spamming is one of the things Gelsinger wants to stop with the new reorganization and separation of Intel Design Teams and Intel Foundry/External. Because now IDT will need to be much more conscious of their designs to reduce costs.
 

poke01

Senior member
Mar 8, 2022
865
909
106
Or maybe Apple is not relevant whenever they don't want them to be.
Apple is relevant to the market Intel is targeting with LNL. Tablets, thin and light laptops are Apples forte.

Intel only compares with Apple when Apple is behind. Because right now Apple is ahead of Intel in every metric on mobile.

The last time when Intel compared to Apple was with HX 13th gen CPUs against the M2 Max. Intel had a huge lead in MT and it made slides to market that.

With the M3 Max being better than Intel 14th Gen i9 HX CPUs this time they skipped comparing to the M3 Max.
 

coercitiv

Diamond Member
Jan 24, 2014
6,257
12,197
136
It was actually Ryan Shrout (I don't think he's at Intel anymore), but indeed he did.
Ryan Shrout is no longer with Intel, so folks should not take his opinion as official PR speak.

The comparison with 1185G7 is weird. The 47% figure has little meaning when compared with the upcoming competition. However, from their PoV it may make sense to compare with 1185G7, it's their last premium quad core. LNL with 4+4 and removed SMT is closer to a 4P/8T CPU than any of their recent hybrid configs.

Like I said though, it feels weird to see a product that is supposed to fight for the efficiency crown being touted for TOPS and beating an old skinny guy. Meanwhile Qualcomm is showing Geekbench numbers on variable power graphs. Big mismatch in the show of confidence from the two companies, even if it's just the optics for now.
 

mikk

Diamond Member
May 15, 2012
4,152
2,164
136
Not if it's a Meteor Lake like launch in December. 4.9 Ghz is surprisingly high, I expected fmax something between 4-4.5 Ghz. Take note @SpudLobby

N3B can't be that bad, it's not a bad sign for ARL-S too. Maybe they can reach 5 Ghz with the production models.
 

Hitman928

Diamond Member
Apr 15, 2012
5,392
8,281
136




These results are stunning! Almost 2X efficient than competition. But still, why is there so much discrepancy when compared to previous test results. What has changed?

And they should've also included 13500H or 13700H in the mix. Would have provided better clarity.

Question is, is it roughly 2X efficient when compared to previous gen? If yes, then it means MTL is terrific. If not, then it's better to wait for next gen.

They are not really comparable models. Anandtech is terrible about this in laptop reviews to the point that they use desktop CPUs in their benchmark comparisons (probably because they do so few so their comparison options are limited). The AMD unit has an RTX 4070 in it and is meant as a gaming laptop. The Zenbook with MTL is meant as an ultraportable and geared for battery life. That's why the MTL gets crushed in the performance benchmarks but wins convincingly in the battery life ones.



MTL should not lose this bad in performance, but the configurations of the laptops are basically at opposite ends of the spectrum. Using a more comparable model with an AMD chip would see the performance be much closer, but battery life would be roughly equal as well.
 

dullard

Elite Member
May 21, 2001
25,126
3,516
126
Videocardz found a Dell XPS roadmap leak. https://videocardz.com/newz/exclusi...ake-laptops-in-2026-nova-lake-systems-in-2027 The leak's source material was made nearly a year ago, so things may no longer be the same dates, but it does provide some possible timelines.

Note: these are the Dell XPS targets, not necessarily the date the Intel chips are launched. But in order to hit these targets, Intel would have to launch before these dates.
  • Lunar Lake-MX: Aug / Sept 2024
  • Arrow Lake-H: Feb / Mar 2025
  • Panther Lake-H: Feb / Mar 2026
  • Nova Lake: Q1 2027
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |