Discussion Intel Meteor, Arrow, Lunar & Panther Lakes Discussion Threads

Page 307 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

Tigerick

Senior member
Apr 1, 2022
679
559
106






As Hot Chips 34 starting this week, Intel will unveil technical information of upcoming Meteor Lake (MTL) and Arrow Lake (ARL), new generation platform after Raptor Lake. Both MTL and ARL represent new direction which Intel will move to multiple chiplets and combine as one SoC platform.

MTL also represents new compute tile that based on Intel 4 process which is based on EUV lithography, a first from Intel. Intel expects to ship MTL mobile SoC in 2023.

ARL will come after MTL so Intel should be shipping it in 2024, that is what Intel roadmap is telling us. ARL compute tile will be manufactured by Intel 20A process, a first from Intel to use GAA transistors called RibbonFET.



Comparison of upcoming Intel's U-series CPU: Core Ultra 100U, Lunar Lake and Panther Lake

ModelCode-NameDateTDPNodeTilesMain TileCPULP E-CoreLLCGPUXe-cores
Core Ultra 100UMeteor LakeQ4 202315 - 57 WIntel 4 + N5 + N64tCPU2P + 8E212 MBIntel Graphics4
?Lunar LakeQ4 202417 - 30 WN3B + N62CPU + GPU & IMC4P + 4E08 MBArc8
?Panther LakeQ1 2026 ??Intel 18A + N3E3CPU + MC4P + 8E4?Arc12



Comparison of die size of Each Tile of Meteor Lake, Arrow Lake, Lunar Lake and Panther Lake

Meteor LakeArrow Lake (20A)Arrow Lake (N3B)Arrow Lake Refresh (N3B)Lunar LakePanther Lake
PlatformMobile H/U OnlyDesktop OnlyDesktop & Mobile H&HXDesktop OnlyMobile U OnlyMobile H
Process NodeIntel 4Intel 20ATSMC N3BTSMC N3BTSMC N3BIntel 18A
DateQ4 2023Q1 2025 ?Desktop-Q4-2024
H&HX-Q1-2025
Q4 2025 ?Q4 2024Q1 2026 ?
Full Die6P + 8P6P + 8E ?8P + 16E8P + 32E4P + 4E4P + 8E
LLC24 MB24 MB ?36 MB ??8 MB?
tCPU66.48
tGPU44.45
SoC96.77
IOE44.45
Total252.15



Intel Core Ultra 100 - Meteor Lake



As mentioned by Tomshardware, TSMC will manufacture the I/O, SoC, and GPU tiles. That means Intel will manufacture only the CPU and Foveros tiles. (Notably, Intel calls the I/O tile an 'I/O Expander,' hence the IOE moniker.)

 

Attachments

  • PantherLake.png
    283.5 KB · Views: 23,969
  • LNL.png
    881.8 KB · Views: 25,441
Last edited:

tamz_msc

Diamond Member
Jan 5, 2017
3,828
3,661
136
To add to the discussion - single core performance should be tested with Spec_rate, as it is usually done. However, overall multi-core performance is more realistically captured by Spec_speed.

This is because rate is like Geekbench 5, while speed is like Geekbench 6. The only reason why it isn't tested is because it has a very large memory footprint and it takes too damn long.
 

Abwx

Lifer
Apr 2, 2011
11,057
3,716
136
You mean this?

Then Phoenix at 5W is comparable, at 6W is better. E-core at <5W is better.
I really want to know how he measured It.
I have PHX laptop and Ryzen Master is not working, the same for AMD Overdrive. Not sure about MTL and Extreme Tuning Utility.

That s made up numbers, he use the package power, so the uncore power is included in the alleged core power comsumption, that s why the curves are that steep at the beginning, by increasing artificially the idle power he shift the curves where it stand his doctored numbers.

Yet HVinfo has power per core data, one has to wonder why he didnt isolate a single core power using this tool, likely that it would had contradicted his purposely biaised numbers.
 

DavidC1

Senior member
Dec 29, 2023
203
284
96
@coercitiv L3 was mentioned. "LLC", but only for the P. The E cores are basically LPe cores and only come with their native L2 cache.

Lunarlake actually shows some promise unlike Meteorlake's asterick here and asterick there for getting good battery life. The system level cache is for reducing power use, which is akin to what Apple chips do too.

I don't know the exact size of M3, but I assume we're talking about ~150mm2 or less.
For what it's worth Lunarlake's "compute" die also includes the GPU.
 

DrMrLordX

Lifer
Apr 27, 2000
21,709
10,983
136
Intel’s yields on Intel 4 are said to be higher then Intel 7.

According to whom? 10nm was a disaster from the start, but the Intel 7 (or "super 7") they're using today for Raptor Lake has been through many revisions and now supplies the lion's share of product volume for Intel. In contrast, Intel 4 is Intel's first EUV node, and every product slated for release on that node was cancelled except for one relatively small compute tile for Meteor Lake. From the perspective of an outside observer, it certainly doesn't look like Intel 4 would have great yields. Maybe the yield% is improved by the fact that Intel never released any sizeable dice on Intel 4.

Intel would look pretty foolish talking about process leadership and coming up with this elaborate plan, executing on it for years, and then turning around and saying “just kidding guys, IFS sucks, we are using TSMC”.

Not trying to nitpick but I don't think the word "executing" is appropriate here. And it would be consistent with Intel's troubles as of late if they did use TSMC for Lunar Lake.

Intel 4 not so much cos it's a purpose built node for MTL's CPU tile.

What? No, Meteor Lake's compute tile just happened to be the "last man standing". The pipecleaner for Intel 4 was one of the Ponte Vecchio tiles. Intel cancelled that.
 

Abwx

Lifer
Apr 2, 2011
11,057
3,716
136
His 28W score is broken, way too low. Apparently windows update messed up the power settings on the Asus. Testing MTL is a hard task by the looks of it.

NBC also got access to the hardware, they have a comparable score at 64/55W, although for their comparison they picked a 7840HS Pro that is apparently heavily throttled, seems that they wanted to please Intel as if it was a paid article...

 
Reactions: lightmanek

Tigerick

Senior member
Apr 1, 2022
679
559
106
This would support the notion that whatever messups the process development team had, the design team is just as bad if not worse. The running joke was design team deficits were made up by the process team.

Also @Exist50 said server division is mostly ok now, while he didn't seem to be sure about client.

Sierra Forest and Granite Rapids 6 months earlier is proof?

I am afraid SRF and GNR won't perform as good as competitor, they are most likely dragged down by IFS. You should check out the thread above to see performance comparison between SRF and Bergamo. As old saying you have to watch what Intel deliver not what Intel's claim, below is my assessment of IFS's 5 nodes in 4 years:-

  • Meteor Lake @ Intel 4: I have listed the numbers in the table here. He bought all the retail units in China and benchmark them. You should check out 3DMark Timespy's numbers and compare the gaming performance, then you should know tCPU is dragging down overall FPS of the games. Remember Intel 4 is original Intel's 7nm process which Intel has been struggling for years and end up splitting the design into 4 tiles and yet....And Intel's MTL U-series has been severely cut down version of H series.

  • Sierra Forest @ Intel 3: As shown here, without HT, SRF's performance with 144 cores of CPU has been pretty poor....

  • Granite Rapids @ Intel 3: At this stage I suspect GNR might remove HT as well, ie. GNR might get regression from Emerald Rapid's 64c/128t to GNR's 72c/72t (72 cores are the rumored figures, if you have different numbers, let me know).

  • Arrow Lake @ Intel 20A: ARL should be used on non-K desktop version and if Intel removes HT from ARL, then we should be expecting another regression from 20t to 14t....not looking good

  • Arrow Lake @ TSMC's N3B: There are 2 versions planned: 8P+16E (24T) and 8P+32E (40T). TSMC's version with 40T looks better but Intel most likely remove HT as well to make features parity with 20A version

  • Lunar Lake @ TSMC's N3B: LNL is most promising APU from TSMC cause they are the only CPU with integrated GPU and memory controllers, which Intel has been trying to offload to TSMC since beginning and you probably won't see such integration from IFS for long time...

  • Clearwater Forest @ Intel 18A: The leaks mentioned that CWF-AP is having 288 cores with much bigger IPC improvements. No words on HT/RU though and judging by the low performance of SRF, I don't think CWF will touch performance of Bergamo.

  • Diamond Rapids @ Intel 18A: MLID leaked about DMR might get RU support. By that time, AMD has EYPC with 256 p-cores (8 x 32c), I really wonder how many cores Intel could put in...

  • Panther Lake @ Intel 18A: This mobile version is leaked to have 4P+8E without HT/RU but with integrated IOD. Yes, Intel has finally reduced the numbers of tile from 4 to 2 and this design could be used for a long time in client CPU. Based on timings, tGPU with IMC should be made by TSMC's N3P process. As for whatever Intel's claim about 18A's PPW, well that part is used by integrated IOD....In fact, the specs of Panther Lake is similar to AMD's Strix Point (4P+8E by N4P monolithic design), except Intel has to rely on TSMC's N3P to complete the APU....such a advanced process.
Well, I think Intel will deliver 5 nodes in 4 years but as you can see above, too many corners have been cut. The removal of HT, offloading graphics IP and MC to TSMC, asking TSMC to make whole CPU and so on, yeah IFS is definitely not up to TSMC's standard. They are even worse than Samsung Foundry on PPA, that's why Qualcomm has baited out of it...
 
Last edited:

Tigerick

Senior member
Apr 1, 2022
679
559
106
There is a 288 core version, with two compute chiplets and 12 memory channels, that was announced recently; the 144 core version has one compute chiplet and 8 channels.

View attachment 92118


Interesting, no words on when Intel about shipping date. And don't forget AMD has Turin Dense with 192/384 coming in Q3 this year..
 

Abwx

Lifer
Apr 2, 2011
11,057
3,716
136
There is a 288 core version, with two compute chiplets and 12 memory channels, that was announced recently; the 144 core version has one compute chiplet and 8 channels.

View attachment 92118



That could be a mock CPU just to show how a 288C looks, with tiles glued with some cyanolyt, if there was anything functional we would know about it...
 

rtxtwt

Senior member
Jul 2, 2018
319
505
136
These documents which are leaked by yuuki_ans seems imply the Hyperthreading(SMT) is still there in the ARL P core, but it cause some mobo function like M2 SSD misfunction the SMT was turned of on initial ES ARL SKUs.
Some terminology/key words are expunged(by yuuki_ans?) and leave blank, but it is easy to guess though.

 

Tigerick

Senior member
Apr 1, 2022
679
559
106

As expected, Nova Lake-S 16P+32E will be manufactured by TSMC's N2 process, likely debut at Q4 2026 to utilize DDR6 platform. tCPU should integrate with IOD like Panther Lake, if Nova Lake's tGPU is using same tGPU as Panther Lake, then we should be expecting 50-60TOPS NPU as well...

Let's see:-
  • Intel Panther Lake 4P+8E by Intel 18A
  • Intel Nova Lake-S 8P+16E by Intel Next ???
  • Intel Nova Lake-S 16P+32E by TSMC N2
There should have one more version of Nova Lake with half amount of P&E cores, Intel will try to make it inhouse, likely to be new process announced later.

At this stage, if anybody thinks 18A is comparable to N2, hoho
 
Last edited:
Reactions: igor_kavinski
Jul 27, 2020
16,824
10,778
106
Their engineers probably knew this during the design phase. That's why they proposed the L4 Adamantine cache. And their fab engineers were like, hell no! We are scared of big caches like that. Too many defects. Then you'll blame us so no, there's no cost effective way for us to give you that. Their CPU architects sighed and went back to their desks, shaking their heads. Some of them started looking for a better job.
 

Abwx

Lifer
Apr 2, 2011
11,057
3,716
136
Again with quoting irrelevant metrics from the review?

The performance per watt metrics are not with respect to TDP settings, they're with respect to measured CPU Package Power in the case of APUs. If they were with respect to TDP then the 8700G with DDR5-5200 would be 90% the performance per watt of the 8700G with DDR5-7200 rather than 97%.

You can see in the HVInfo screen that the GPU use up to 14W while the CPU is at 41W for a curious 46W grand total, but for some reason it would use only 28W in games even with PL1/PL2 set at higher values, that s total non sense.

Beside the GPU frequency at 14W is 2.1GHz, that s a valuable info since this mean that at 2.5GHz the GPU will use rougly 20W and about 28W at 2.8GHz, in this latter case nothing would be left for the CPU + uncore if the TDP was actually limited to 28W.

That being said if the power is limited to say 46W the chip will use 46W in CPU + GPU usage, there s no way it will use 28W if there s some thermal headroom, there s no mobile CPU that work this way, the only way to limit it to 28W is to make sure that at this power the temperature hit the firmware s 100°C ceiling.
 
Last edited:
Jul 27, 2020
16,824
10,778
106
I can't wait enough for Lunar Lake. At least it will stop people from hoping that MTL will somehow get "fixed" through BIOS updates. I think the problem runs deeper than just firmware issues. The Raptor Lake team just executed too good and made the MTL team look bad in comparison.
 

Abwx

Lifer
Apr 2, 2011
11,057
3,716
136
The Zenbook 14 155H uses 28W sustained, every reputable test says that.




Interestingly the new bios lowered the PL2 from 50+ watts to 30+ watts. It's a good decision though because the temps are really high otherwise.

That s wrong, at Computerbase they said that the CPU didnt follow the power set in Windows and that it seemed internally controlled, they added that power figures are not accurate and should be dissmissed as such.

If the CPU was using 28W then they wouldnt have measured 46W in HV info.

Last but not least they said that the fan was spinning at full speed, and yet the CPU
got over 100°C, that s not compatible with a 28W power comsumption, and before you ask the laptop wasnt faulty because they received it from Intel s own hands and that you can be sure that it was tested before delivery.
 
Last edited:
Reactions: igor_kavinski

Abwx

Lifer
Apr 2, 2011
11,057
3,716
136
Computerbase says it runs sustained at 28W and they also say the cooling can't really handle more than 28W sustained. You are wrong.

Want another source? Here you go



The cooler not handling 28W while the fan is spinning at full tilt..?.

Granted it is possible, then after how much time is the device throttled, and are you sure that it s the perfs at 28W?

Because your Ultrabook review has also displayed some HVinfo screens :


From the first CB run to the last ones the perf gap is such that the first run use about 80% more power than the last ones if i do an evaluation in function of Intel s power/voltage characteristic, and effectively that amount to 50W throttled to 28W.

But you cant be sure that it s 28W for games FI because any recovery of the cooling apparatus will increase the power accordingly up to 50W if necessary, be it with a bursty maneer.
 
Last edited:

dullard

Elite Member
May 21, 2001
25,126
3,516
126
You're taking my words out of context. I was talking about how he deletes many of his videos where he got things very wrong, so you can't go back and show how wrong he's been because he deletes his most inaccurate predictions, not that he deletes everything.
Talking about deleting a video is meaningless drivel when the heart of the video is saved and can be discussed. It isn't a discussion about whether he is a coward weasel when pointed out to be wrong. It is a discussion about whether or not leaks are usually accurate or not.
Umm, what? The point was that he doesn't deserve legitimacy... Additionally, if he's only reliable in "confirming" what others have already leaked, what's the point?
If he is legitimately correct on an issue but wasn't first, why discount his posts unilaterally? I'm only calling for people to actually base discussions on data.

What is the point? The whole thread is about talking about what is happening in the future--not bragging rights about what leaker was first. Someone who gathers leaks together is useful towards that end.
Do you really want me to go through and list everything he got wrong or was behind other leakers on? I mean, I guess if you really want to we can do that. I can also point to multiple posts where others have already called out his innacuracies despite his high confidence predictions if you'd like.
Certainly, as long as it is a good faith effort to highlight what was both wrong and right. No twisting of data, no hand wringing. No nitpicking that if a 0.999999 isn't close enough to be 1 so that someone calls a prediction of 1 years ago wrong since it actually is 0.999999. Lets work together on this. Ideally others would join in and track many leakers.
 
Last edited:

adroc_thurston

Platinum Member
Jul 2, 2023
2,508
3,656
96
Reactions: lightmanek

adroc_thurston

Platinum Member
Jul 2, 2023
2,508
3,656
96
Why exactly is there an assumption that Intel's limited to a single protocol?
every single fabric is a whole zoo of different protocols, we're talking physical implementation which is yes, IOSF.
Like in 2012 Intel-based phones.
Or Avoton.
Zen5 is already better than ARL in all aspects
Yea.
ARL doesn't even stand a chance at all.
yea.
If LNC performs admirably,
It's more stuff.
More stuff isn't what Intel needs in their big cores.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |