Discussion Leading Edge Foundry Node advances (TSMC, Samsung Foundry, Intel)

Page 106 - Seeking answers? Join the AnandTech community: where nearly half-a-million members share solutions and discuss the latest tech.

DisEnchantment

Golden Member
Mar 3, 2017
1,608
5,816
136
TSMC's N7 EUV is now in its second year of production and N5 is contributing to revenue for TSMC this quarter. N3 is scheduled for 2022 and I believe they have a good chance to reach that target.


N7 performance is more or less understood.


This year and next year TSMC is mainly increasing capacity to meet demands.

For Samsung the nodes are basically the same from 7LPP to 4 LPE, they just add incremental scaling boosters while the bulk of the tech is the same.

Samsung is already shipping 7LPP and will ship 6LPP in H2. Hopefully they fix any issues if at all.
They have two more intermediate nodes in between before going to 3GAE, most likely 5LPE will ship next year but for 4LPE it will probably be back to back with 3GAA since 3GAA is a parallel development with 7LPP enhancements.




Samsung's 3GAA will go for HVM in 2022 most likely, similar timeframe to TSMC's N3.
There are major differences in how the transistor will be fabricated due to the GAA but density for sure Samsung will be behind N3.
But there might be advantages for Samsung with regards to power and performance, so it may be better suited for some applications.
But for now we don't know how much of this is true and we can only rely on the marketing material.

This year there should be a lot more available wafers due to lack of demand from Smartphone vendors and increased capacity from TSMC and Samsung.
Lots of SoCs which dont need to be top end will be fabbed with N7 or 7LPP/6LPP instead of N5, so there will be lots of wafers around.

Most of the current 7nm designs are far from the advertized density from TSMC and Samsung. There is still potential for density increase compared to currently shipping products.
N5 is going to be the leading foundry node for the next couple of years.

For a lot of fabless companies out there, the processes and capacity available are quite good.
 

FlameTail

Platinum Member
Dec 15, 2021
2,356
1,274
106



Intel 3 = +18% PPW vs Intel 4
Intel 20A = +15% PPW vs Intel 3
Intel 18A = +10% PPW vs Intel 20A

Using the above information, I have compiled the following table:


N5 -> N2 is a 210% increase in PPW.

I4 -> 18A is a 149% increase in PPW.

Note that the baseline 100 taken for N5, and the baseline 100 taken for Intel 4, are not the same.

So all you have to do now is estimate which TSMC node is Intel's baseline 100 equal to, and then you can compare the PPW of these TSMC vs Intel nodes freely as you wish!
 
Reactions: Tlh97

FlameTail

Platinum Member
Dec 15, 2021
2,356
1,274
106
Note the colossal PPW jump from N3P to N2.

This aligns with TSMC CEO's claim that "N3P is comparable to Intel 18A, but N2 is far better than both in all aspects".

So I'd say Intel 18A is a bit better than N3P.
That means Intel 4 sits between N5P and N4P in terms of PPW.
 
Reactions: controlflow

FlameTail

Platinum Member
Dec 15, 2021
2,356
1,274
106

Based on this, it is impossible to say PPW wise,
Intel 18A = TSMC N2.

If so, then Intel will be gaining process leadership with 20A! But clearly that's not the case. Intel's claim is that with 20A, they reach 'parity' with TSMC.
 

SiliconFly

Golden Member
Mar 10, 2023
1,048
536
96
This aligns with TSMC CEO's claim that "N3P is comparable to Intel 18A, but N2 is far better than both in all aspects".
TSMC CEO's claims were incomplete and misleading (later clarified by others). If given a chance, he'll say N7 is better than 18A. It's just business and we can expect only so much from competition. N3 is based on older FinFETs, while 18A is based on 2nd gen GAAFETs. Worlds apart.

That means Intel 4 sits between N5P and N4P in terms of PPW.
Nope. Wrong. All leading silicon tech websites like semiwiki, wikichip, tom's & anandtech have clearly said Intel 4 is closer to N3 (you can easily google it). It sits between N4 & N3, but a lot closer to N3.

Note that the baseline 100 taken for N5, and the baseline 100 taken for Intel 4, are not the same.
Yep. Thats accurate. It's pretty much impossible to compare two different foundry's node characteristics like this as we can never arrive at a baseline due to too many variables.

Even if we were to design some sort of industry standard core and build the exact same in two different nodes, there are too many node-specific design decisions that go into them based on our choice of the cell libraries and logic design which makes the entire exercise moot.

...compare the PPW...
TSMC N5 to N3 is only 25% to 30% power reduction. How did you arrive at 47% PPW gain? I doubt it.

So I'd say Intel 18A is a bit better than N3P.
Simple fact is, 5NI4Y wasn't some trivial exercise for marketing purposes. It had one very specific goal. To attain process leadership. Thats why they spent a ton of money, time & energy on pretty much useless short-lived nodes like 4/3/20A that doesn't give them much ROI. Actually, it's very much possible, they might have lost a lot of money in them. All for one singular purpose. And 18A is not just better than N3. It's on par with the upcoming N2 and one year ahead already.

To summarize, they're gaining process leadership next year onwards. And probably henceforth. If all goes well, Intel will be the first to reach 1nm, that too 2 years ahead of TSMC (mainly due to High-NA lead).
 
Last edited:

FlameTail

Platinum Member
Dec 15, 2021
2,356
1,274
106
TSMC N5 to N3 is only 25% to 30% power reduction. How did you arrive at 47% PPW gain? I doubt it.
According to the Anandtech table, N3E has a 32% power reduction
To summarize, they're gaining process leadership next year onwards. And probably henceforth. If all goes well, Intel will be the first to reach 1nm, that too 2 years ahead of TSMC (mainly due to High-NA lead
I am very skeptical that Intel will keep the process leadership crown. And your arguments have proved insufficient to convince me otherwise.

I think Intel will gain process leadership with 18A, because it's competition will be N3P at the time, which is not difficult to surpass. But Intel will lose the crown back to TSMC, when they introduce N2. Then TSMC will lose it back to Intel, when they introduce 14A. Basically, the process leadership will switch back and forth between the different companies in the near future.
 
Reactions: Executor_ and Tlh97

FlameTail

Platinum Member
Dec 15, 2021
2,356
1,274
106
On another note, are we not going to talk about the custom 4NP node that the newly announced Nvidia Blackwell GPU is made on?

In particular, certain tech circles have lost their wits over the fact that 4NP apparently seems to bring a 30% density increase over 4N. What's you guys take on this?
 

SiliconFly

Golden Member
Mar 10, 2023
1,048
536
96
...And your arguments have proved insufficient to convince me otherwise.
Convincing you is not my top priority. But addressing misinformation is.

But Intel will lose the crown back to TSMC, when they introduce N2...
Didn't you get the memo? Intel 14A is launching alongside TSMC N2. Some fun facts... 14A is a High-NA EUV node with GAAFET & Backside Power Delivery. TSMC is just regular EUV and no backside power delivery during launch. A relatively inferior node.
 

Hitman928

Diamond Member
Apr 15, 2012
5,324
8,015
136
Looks like Intel is in line to get $8.5B in grant funding from the CHIPS act. Another $11B will be available as an optional loan. The Pentagon just pulled a previously announced $2.5B to Intel given the new CHIPS act money, so Intel gets a net of $6B in new grants plus an option to take up to $11B in federal loans.

 

controlflow

Member
Feb 17, 2015
111
157
116
Looks like Intel is in line to get $8.5B in grant funding from the CHIPS act. Another $11B will be available as an optional loan. The Pentagon just pulled a previously announced $2.5B to Intel given the new CHIPS act money, so Intel gets a net of $6B in new grants plus an option to take up to $11B in federal loans.


The grant from the DoC is $8.5B. The Pentagon $2.5B would have been in addition to this but that will no longer happen. The net amount is $8.5B, not $6B.
 
sale-70-410-exam    | Exam-200-125-pdf    | we-sale-70-410-exam    | hot-sale-70-410-exam    | Latest-exam-700-603-Dumps    | Dumps-98-363-exams-date    | Certs-200-125-date    | Dumps-300-075-exams-date    | hot-sale-book-C8010-726-book    | Hot-Sale-200-310-Exam    | Exam-Description-200-310-dumps?    | hot-sale-book-200-125-book    | Latest-Updated-300-209-Exam    | Dumps-210-260-exams-date    | Download-200-125-Exam-PDF    | Exam-Description-300-101-dumps    | Certs-300-101-date    | Hot-Sale-300-075-Exam    | Latest-exam-200-125-Dumps    | Exam-Description-200-125-dumps    | Latest-Updated-300-075-Exam    | hot-sale-book-210-260-book    | Dumps-200-901-exams-date    | Certs-200-901-date    | Latest-exam-1Z0-062-Dumps    | Hot-Sale-1Z0-062-Exam    | Certs-CSSLP-date    | 100%-Pass-70-383-Exams    | Latest-JN0-360-real-exam-questions    | 100%-Pass-4A0-100-Real-Exam-Questions    | Dumps-300-135-exams-date    | Passed-200-105-Tech-Exams    | Latest-Updated-200-310-Exam    | Download-300-070-Exam-PDF    | Hot-Sale-JN0-360-Exam    | 100%-Pass-JN0-360-Exams    | 100%-Pass-JN0-360-Real-Exam-Questions    | Dumps-JN0-360-exams-date    | Exam-Description-1Z0-876-dumps    | Latest-exam-1Z0-876-Dumps    | Dumps-HPE0-Y53-exams-date    | 2017-Latest-HPE0-Y53-Exam    | 100%-Pass-HPE0-Y53-Real-Exam-Questions    | Pass-4A0-100-Exam    | Latest-4A0-100-Questions    | Dumps-98-365-exams-date    | 2017-Latest-98-365-Exam    | 100%-Pass-VCS-254-Exams    | 2017-Latest-VCS-273-Exam    | Dumps-200-355-exams-date    | 2017-Latest-300-320-Exam    | Pass-300-101-Exam    | 100%-Pass-300-115-Exams    |
http://www.portvapes.co.uk/    | http://www.portvapes.co.uk/    |